OpenCores
URL https://opencores.org/ocsvn/rtf68ksys/rtf68ksys/trunk

Subversion Repositories rtf68ksys

[/] [rtf68ksys/] [trunk/] [rtl/] [verilog/] [VT151.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 robfinch
// ============================================================================
2
//      2007  Robert Finch
3
//      robfinch@<remove>sympatico.ca
4
//
5
// 74LS151 mux
6
// 8-to-1 mux with enable
7
//
8
//
9
// This source file is free software: you can redistribute it and/or modify 
10
// it under the terms of the GNU Lesser General Public License as published 
11
// by the Free Software Foundation, either version 3 of the License, or     
12
// (at your option) any later version.                                      
13
//                                                                          
14
// This source file is distributed in the hope that it will be useful,      
15
// but WITHOUT ANY WARRANTY; without even the implied warranty of           
16
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the            
17
// GNU General Public License for more details.                             
18
//                                                                          
19
// You should have received a copy of the GNU General Public License        
20
// along with this program.  If not, see <http://www.gnu.org/licenses/>.    
21
//                                                                          
22
// ============================================================================
23
//
24
module VT151(e_n, s, i0, i1, i2, i3, i4, i5, i6, i7, z, z_n);
25
parameter WID=1;
26
input e_n;
27
input [2:0] s;
28
input [WID:1] i0;
29
input [WID:1] i1;
30
input [WID:1] i2;
31
input [WID:1] i3;
32
input [WID:1] i4;
33
input [WID:1] i5;
34
input [WID:1] i6;
35
input [WID:1] i7;
36
output [WID:1] z;
37
output [WID:1] z_n;
38
 
39
reg [WID:1] z;
40
 
41
always @(e_n or s or i0 or i1 or i2 or i3 or i4 or i5 or i6 or i7)
42
        case({e_n,s})
43
        4'b0000:        z <= i0;
44
        4'b0001:        z <= i1;
45
        4'b0010:        z <= i2;
46
        4'b0011:        z <= i3;
47
        4'b0100:        z <= i4;
48
        4'b0101:        z <= i5;
49
        4'b0110:        z <= i6;
50
        4'b0111:        z <= i7;
51
        default:        z <= {WID{1'b0}};
52
        endcase
53
 
54
assign z_n = ~z;
55
 
56
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.