OpenCores
URL https://opencores.org/ocsvn/rtfsimpleuart/rtfsimpleuart/trunk

Subversion Repositories rtfsimpleuart

[/] [rtfsimpleuart/] [trunk/] [rtl/] [verilog/] [edge_det.v] - Blame information for rev 15

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 13 robfinch
// ============================================================================
2
//      (C) 2007,2013  Robert Finch
3 15 robfinch
//  All rights reserved.
4 13 robfinch
//      robfinch@<remove>finitron.ca
5
//
6
//      edge_det.v
7
//
8 15 robfinch
// Redistribution and use in source and binary forms, with or without
9
// modification, are permitted provided that the following conditions are met:
10
//     * Redistributions of source code must retain the above copyright
11
//       notice, this list of conditions and the following disclaimer.
12
//     * Redistributions in binary form must reproduce the above copyright
13
//       notice, this list of conditions and the following disclaimer in the
14
//       documentation and/or other materials provided with the distribution.
15
//     * Neither the name of the <organization> nor the
16
//       names of its contributors may be used to endorse or promote products
17
//       derived from this software without specific prior written permission.
18 13 robfinch
//
19 15 robfinch
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND
20
// ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
21
// WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
22
// DISCLAIMED. IN NO EVENT SHALL <COPYRIGHT HOLDER> BE LIABLE FOR ANY
23
// DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
24
// (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
25
// LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
26
// ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
27
// (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
28
// SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
29
//
30 13 robfinch
//    Notes:
31
//
32
//      Edge detector
33
//      This little core detects an edge (positive, negative, and
34
//      either) in the input signal.
35
//
36
//      Verilog 1995
37
// ============================================================================
38
 
39
module edge_det(rst, clk, ce, i, pe, ne, ee);
40
input rst;              // reset
41
input clk;              // clock
42
input ce;               // clock enable
43
input i;                // input signal
44
output pe;              // positive transition detected
45
output ne;              // negative transition detected
46
output ee;              // either edge (positive or negative) transition detected
47
 
48
reg ed;
49
always @(posedge clk)
50
        if (rst)
51
                ed <= 1'b0;
52
        else if (ce)
53
                ed <= i;
54
 
55
assign pe = ~ed & i;    // positive: was low and is now high
56
assign ne = ed & ~i;    // negative: was high and is now low
57
assign ee = ed ^ i;             // either: signal is now opposite to what it was
58
 
59
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.