OpenCores
URL https://opencores.org/ocsvn/s1_core/s1_core/trunk

Subversion Repositories s1_core

[/] [s1_core/] [trunk/] [hdl/] [filelist.icarus] - Blame information for rev 113

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 25 fafa1971
+define+FPGA_SYN
2
+define+FPGA_SYN_1THREAD
3
+define+FPGA_SYN_NO_SPU
4 113 albert.wat
+define+SIMPLY_RISC_TWEAKS
5
+define+SIMPLY_RISC_DEBUG
6
+incdir+$(S1_ROOT)/hdl/rtl/s1_top
7
+incdir+$(S1_ROOT)/hdl/rtl/sparc_core/include
8
$(S1_ROOT)/hdl/rtl/sparc_core/m1_lib.v
9
$(S1_ROOT)/hdl/rtl/sparc_core/u1_lib.v
10
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_dcl.v
11
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_stb_ctl.v
12
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_tlu_zcmp64.v
13
$(S1_ROOT)/hdl/rtl/sparc_core/bw_r_scm.v
14
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_ecc_dec.v
15
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_mul_cntl.v
16
$(S1_ROOT)/hdl/rtl/sparc_core/tlu_addern_32.v
17
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ffu_ctl.v
18
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_thrcmpl.v
19
$(S1_ROOT)/hdl/rtl/sparc_core/tlu_mmu_ctl.v
20
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_ecl_wb.v
21
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_fdp.v
22
$(S1_ROOT)/hdl/rtl/sparc_core/bw_r_rf32x152b.v
23
$(S1_ROOT)/hdl/rtl/sparc_core/bw_r_irf_register.v
24
$(S1_ROOT)/hdl/rtl/sparc_core/bw_r_rf32x80.v
25
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_rndrob.v
26
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_rml_cwp.v
27
$(S1_ROOT)/hdl/rtl/sparc_core/tlu_pib.v
28
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_lfsr5.v
29
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_qctl1.v
30
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_aluadder64.v
31
$(S1_ROOT)/hdl/rtl/sparc_core/tlu_mmu_dp.v
32
$(S1_ROOT)/hdl/rtl/sparc_core/cmp_sram_redhdr.v
33
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_swl.v
34
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_swpla.v
35
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_aluspr.v
36
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_tlu_intdp.v
37
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_incr46.v
38
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ffu.v
39
$(S1_ROOT)/hdl/rtl/sparc_core/tlu_prencoder16.v
40
$(S1_ROOT)/hdl/rtl/sparc_core/lsu.v
41
$(S1_ROOT)/hdl/rtl/sparc_core/bw_r_icd.v
42
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ffu_part_add32.v
43
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ffu_vis.v
44
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_stb_rwdp.v
45
$(S1_ROOT)/hdl/rtl/sparc_core/bw_clk_cl_sparc_cmp.v
46
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_qctl2.v
47
$(S1_ROOT)/hdl/rtl/sparc_core/sparc.v
48
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_imd.v
49
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_cmp35.v
50
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_eclccr.v
51
$(S1_ROOT)/hdl/rtl/sparc_core/tlu_hyperv.v
52
$(S1_ROOT)/hdl/rtl/sparc_core/tlu_misctl.v
53
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_rrobin_picker2.v
54
$(S1_ROOT)/hdl/rtl/sparc_core/swrvr_dlib.v
55
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_thrfsm.v
56
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_rml_inc3.v
57
$(S1_ROOT)/hdl/rtl/sparc_core/tlu_tdp.v
58
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_dcache_lfsr.v
59
$(S1_ROOT)/hdl/rtl/sparc_core/bw_r_rf16x160.v
60
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_fcl.v
61
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_ctr5.v
62
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_eclcomp7.v
63
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_dc_parity_gen.v
64
$(S1_ROOT)/hdl/rtl/sparc_core/bw_r_irf.v
65
$(S1_ROOT)/hdl/rtl/sparc_core/tlu_tcl.v
66
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_milfsm.v
67
$(S1_ROOT)/hdl/rtl/sparc_core/cpx_spc_buf.v
68
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_ecl_divcntl.v
69
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_tlu_dec64.v
70
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_div.v
71
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_par34.v
72
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_alu_16eql.v
73
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_dctl.v
74
$(S1_ROOT)/hdl/rtl/sparc_core/test_stub_scan.v
75
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_ecl_cnt6.v
76
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_stb_ctldp.v
77
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_mul_top.v
78
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu.v
79
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_lru4.v
80
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_par32.v
81
$(S1_ROOT)/hdl/rtl/sparc_core/cpx_spc_rpt.v
82
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_mbist.v
83
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_asi_decode.v
84
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_ecc.v
85
$(S1_ROOT)/hdl/rtl/sparc_core/tlu.v
86
$(S1_ROOT)/hdl/rtl/sparc_core/bw_r_tlb.v
87
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_stb_rwctl.v
88
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_rml.v
89
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_reg.v
90
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_aluaddsub.v
91
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_byp_eccgen.v
92
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_dcdp.v
93
$(S1_ROOT)/hdl/rtl/sparc_core/tlu_incr64.v
94
$(S1_ROOT)/hdl/rtl/sparc_core/mul64.v
95
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_aluor32.v
96
$(S1_ROOT)/hdl/rtl/sparc_core/cluster_header.v
97
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_errctl.v
98
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_qdp1.v
99
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_alu.v
100
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_tagdp.v
101
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_rndrob.v
102
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu.v
103
$(S1_ROOT)/hdl/rtl/sparc_core/swrvr_clib.v
104
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_ecl_mdqctl.v
105
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_tlu_intctl.v
106
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_qdp2.v
107
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_ecl_eccctl.v
108
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_div_yreg.v
109
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_alulogic.v
110
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_tlu_penc64.v
111
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ffu_ctl_visctl.v
112
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_eclbyplog_rs1.v
113
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_div_32eql.v
114
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_par16.v
115
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_eclbyplog.v
116
$(S1_ROOT)/hdl/rtl/sparc_core/bw_r_idct.v
117
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_pcx_qmon.v
118
$(S1_ROOT)/hdl/rtl/sparc_core/bw_r_dcd.v
119
$(S1_ROOT)/hdl/rtl/sparc_core/test_stub_bist.v
120
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_byp.v
121
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_shft.v
122
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_sscan.v
123
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_dec.v
124
$(S1_ROOT)/hdl/rtl/sparc_core/bw_r_rf16x32.v
125
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_wseldp.v
126
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_excpctl.v
127
$(S1_ROOT)/hdl/rtl/sparc_core/bw_r_frf.v
128
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_invctl.v
129
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ffu_dp.v
130
$(S1_ROOT)/hdl/rtl/sparc_core/tlu_rrobin_picker.v
131
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_aluzcmp64.v
132
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_ifqdp.v
133
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_dctldp.v
134
$(S1_ROOT)/hdl/rtl/sparc_core/lsu_tlbdp.v
135
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_mul_dp.v
136
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_exu_ecl.v
137
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_errdp.v
138
$(S1_ROOT)/hdl/rtl/sparc_core/sparc_ifu_ifqctl.v
139
$(S1_ROOT)/hdl/rtl/s1_top/rst_ctrl.v
140
$(S1_ROOT)/hdl/rtl/s1_top/int_ctrl.v
141
$(S1_ROOT)/hdl/rtl/s1_top/simple_fifo.v
142
$(S1_ROOT)/hdl/rtl/s1_top/spc2wbm.v
143
$(S1_ROOT)/hdl/rtl/s1_top/s1_top.v
144
$(S1_ROOT)/hdl/behav/testbench/mem_harness.v
145
$(S1_ROOT)/hdl/behav/testbench/testbench.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.