OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [CMake/] [FindAsciidoctor.cmake] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
include(FindPackageHandleStandardArgs)
2
 
3
find_program(ASCIIDOCTOR_EXECUTABLE NAMES asciidoctor-pdf
4
             PATHS /usr/bin /usr/local/bin)
5
 
6
find_package_handle_standard_args(Asciidoctor FOUND_VAR ASCIIDOCTOR_FOUND
7
                                  REQUIRED_VARS ASCIIDOCTOR_EXECUTABLE)

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.