OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [fpga/] [VGA/] [FrameBufferRAM.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
// Copyright Jamie Iles, 2017
2
//
3
// This file is part of s80x86.
4
//
5
// s80x86 is free software: you can redistribute it and/or modify
6
// it under the terms of the GNU General Public License as published by
7
// the Free Software Foundation, either version 3 of the License, or
8
// (at your option) any later version.
9
//
10
// s80x86 is distributed in the hope that it will be useful,
11
// but WITHOUT ANY WARRANTY; without even the implied warranty of
12
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
// GNU General Public License for more details.
14
//
15
// You should have received a copy of the GNU General Public License
16
// along with s80x86.  If not, see .
17
 
18
// megafunction wizard: %RAM: 2-PORT%
19
// GENERATION: STANDARD
20
// VERSION: WM1.0
21
// MODULE: altsyncram
22
 
23
// ============================================================
24
// File Name: FrameBufferRAM.v
25
// Megafunction Name(s):
26
//                      altsyncram
27
//
28
// Simulation Library Files(s):
29
//                      altera_mf
30
// ============================================================
31
// ************************************************************
32
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
33
//
34
// 16.1.2 Build 203 01/18/2017 SJ Lite Edition
35
// ************************************************************
36
 
37
 
38
//Copyright (C) 2017  Intel Corporation. All rights reserved.
39
//Your use of Intel Corporation's design tools, logic functions
40
//and other software and tools, and its AMPP partner logic
41
//functions, and any output files from any of the foregoing
42
//(including device programming or simulation files), and any
43
//associated documentation or information are expressly subject
44
//to the terms and conditions of the Intel Program License
45
//Subscription Agreement, the Intel Quartus Prime License Agreement,
46
//the Intel MegaCore Function License Agreement, or other
47
//applicable license agreement, including, without limitation,
48
//that your use is for the sole purpose of programming logic
49
//devices manufactured by Intel and sold by Intel or its
50
//authorized distributors.  Please refer to the applicable
51
//agreement for further details.
52
 
53
 
54
// synopsys translate_off
55
`timescale 1 ps / 1 ps
56
// synopsys translate_on
57
module FrameBufferRAM (
58
        address_a,
59
        address_b,
60
        byteena_a,
61
        clock_a,
62
        clock_b,
63
        data_a,
64
        data_b,
65
        wren_a,
66
        wren_b,
67
        q_a,
68
        q_b);
69
 
70
        input   [10:0]  address_a;
71
        input   [10:0]  address_b;
72
        input   [1:0]  byteena_a;
73
        input     clock_a;
74
        input     clock_b;
75
        input   [15:0]  data_a;
76
        input   [15:0]  data_b;
77
        input     wren_a;
78
        input     wren_b;
79
        output  [15:0]  q_a;
80
        output  [15:0]  q_b;
81
`ifndef ALTERA_RESERVED_QIS
82
// synopsys translate_off
83
`endif
84
        tri1    [1:0]  byteena_a;
85
        tri1      clock_a;
86
        tri0      wren_a;
87
        tri0      wren_b;
88
`ifndef ALTERA_RESERVED_QIS
89
// synopsys translate_on
90
`endif
91
 
92
        wire [15:0] sub_wire0;
93
        wire [15:0] sub_wire1;
94
        wire [15:0] q_a = sub_wire0[15:0];
95
        wire [15:0] q_b = sub_wire1[15:0];
96
 
97
        altsyncram      altsyncram_component (
98
                                .address_a (address_a),
99
                                .address_b (address_b),
100
                                .byteena_a (byteena_a),
101
                                .clock0 (clock_a),
102
                                .clock1 (clock_b),
103
                                .data_a (data_a),
104
                                .data_b (data_b),
105
                                .wren_a (wren_a),
106
                                .wren_b (wren_b),
107
                                .q_a (sub_wire0),
108
                                .q_b (sub_wire1),
109
                                .aclr0 (1'b0),
110
                                .aclr1 (1'b0),
111
                                .addressstall_a (1'b0),
112
                                .addressstall_b (1'b0),
113
                                .byteena_b (1'b1),
114
                                .clocken0 (1'b1),
115
                                .clocken1 (1'b1),
116
                                .clocken2 (1'b1),
117
                                .clocken3 (1'b1),
118
                                .eccstatus (),
119
                                .rden_a (1'b1),
120
                                .rden_b (1'b1));
121
        defparam
122
                altsyncram_component.address_reg_b = "CLOCK1",
123
                altsyncram_component.byte_size = 8,
124
                altsyncram_component.clock_enable_input_a = "BYPASS",
125
                altsyncram_component.clock_enable_input_b = "BYPASS",
126
                altsyncram_component.clock_enable_output_a = "BYPASS",
127
                altsyncram_component.clock_enable_output_b = "BYPASS",
128
                altsyncram_component.indata_reg_b = "CLOCK1",
129
                altsyncram_component.intended_device_family = "Cyclone V",
130
                altsyncram_component.lpm_type = "altsyncram",
131
                altsyncram_component.numwords_a = 2048,
132
                altsyncram_component.numwords_b = 2048,
133
                altsyncram_component.operation_mode = "BIDIR_DUAL_PORT",
134
                altsyncram_component.outdata_aclr_a = "NONE",
135
                altsyncram_component.outdata_aclr_b = "NONE",
136
                altsyncram_component.outdata_reg_a = "UNREGISTERED",
137
                altsyncram_component.outdata_reg_b = "UNREGISTERED",
138
                altsyncram_component.power_up_uninitialized = "FALSE",
139
                altsyncram_component.read_during_write_mode_port_a = "NEW_DATA_NO_NBE_READ",
140
                altsyncram_component.read_during_write_mode_port_b = "NEW_DATA_NO_NBE_READ",
141
                altsyncram_component.widthad_a = 11,
142
                altsyncram_component.widthad_b = 11,
143
                altsyncram_component.width_a = 16,
144
                altsyncram_component.width_b = 16,
145
                altsyncram_component.width_byteena_a = 2,
146
                altsyncram_component.width_byteena_b = 1,
147
                altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK1";
148
 
149
 
150
endmodule
151
 
152
// ============================================================
153
// CNX file retrieval info
154
// ============================================================
155
// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0"
156
// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0"
157
// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0"
158
// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0"
159
// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "1"
160
// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0"
161
// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8"
162
// Retrieval info: PRIVATE: BlankMemory NUMERIC "1"
163
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0"
164
// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0"
165
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0"
166
// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0"
167
// Retrieval info: PRIVATE: CLRdata NUMERIC "0"
168
// Retrieval info: PRIVATE: CLRq NUMERIC "0"
169
// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0"
170
// Retrieval info: PRIVATE: CLRrren NUMERIC "0"
171
// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0"
172
// Retrieval info: PRIVATE: CLRwren NUMERIC "0"
173
// Retrieval info: PRIVATE: Clock NUMERIC "5"
174
// Retrieval info: PRIVATE: Clock_A NUMERIC "0"
175
// Retrieval info: PRIVATE: Clock_B NUMERIC "0"
176
// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0"
177
// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0"
178
// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1"
179
// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A"
180
// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0"
181
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
182
// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0"
183
// Retrieval info: PRIVATE: JTAG_ID STRING "NONE"
184
// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0"
185
// Retrieval info: PRIVATE: MEMSIZE NUMERIC "32768"
186
// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0"
187
// Retrieval info: PRIVATE: MIFfilename STRING ""
188
// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3"
189
// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0"
190
// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0"
191
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
192
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2"
193
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3"
194
// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3"
195
// Retrieval info: PRIVATE: REGdata NUMERIC "1"
196
// Retrieval info: PRIVATE: REGq NUMERIC "0"
197
// Retrieval info: PRIVATE: REGrdaddress NUMERIC "0"
198
// Retrieval info: PRIVATE: REGrren NUMERIC "0"
199
// Retrieval info: PRIVATE: REGwraddress NUMERIC "1"
200
// Retrieval info: PRIVATE: REGwren NUMERIC "1"
201
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
202
// Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0"
203
// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1"
204
// Retrieval info: PRIVATE: VarWidth NUMERIC "0"
205
// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "16"
206
// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "16"
207
// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "16"
208
// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "16"
209
// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0"
210
// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1"
211
// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0"
212
// Retrieval info: PRIVATE: enable NUMERIC "0"
213
// Retrieval info: PRIVATE: rden NUMERIC "0"
214
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
215
// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK1"
216
// Retrieval info: CONSTANT: BYTE_SIZE NUMERIC "8"
217
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS"
218
// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS"
219
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS"
220
// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS"
221
// Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK1"
222
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
223
// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram"
224
// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "2048"
225
// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "2048"
226
// Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT"
227
// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE"
228
// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE"
229
// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED"
230
// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED"
231
// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE"
232
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ"
233
// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ"
234
// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "11"
235
// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "11"
236
// Retrieval info: CONSTANT: WIDTH_A NUMERIC "16"
237
// Retrieval info: CONSTANT: WIDTH_B NUMERIC "16"
238
// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "2"
239
// Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1"
240
// Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK1"
241
// Retrieval info: USED_PORT: address_a 0 0 11 0 INPUT NODEFVAL "address_a[10..0]"
242
// Retrieval info: USED_PORT: address_b 0 0 11 0 INPUT NODEFVAL "address_b[10..0]"
243
// Retrieval info: USED_PORT: byteena_a 0 0 2 0 INPUT VCC "byteena_a[1..0]"
244
// Retrieval info: USED_PORT: clock_a 0 0 0 0 INPUT VCC "clock_a"
245
// Retrieval info: USED_PORT: clock_b 0 0 0 0 INPUT NODEFVAL "clock_b"
246
// Retrieval info: USED_PORT: data_a 0 0 16 0 INPUT NODEFVAL "data_a[15..0]"
247
// Retrieval info: USED_PORT: data_b 0 0 16 0 INPUT NODEFVAL "data_b[15..0]"
248
// Retrieval info: USED_PORT: q_a 0 0 16 0 OUTPUT NODEFVAL "q_a[15..0]"
249
// Retrieval info: USED_PORT: q_b 0 0 16 0 OUTPUT NODEFVAL "q_b[15..0]"
250
// Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a"
251
// Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b"
252
// Retrieval info: CONNECT: @address_a 0 0 11 0 address_a 0 0 11 0
253
// Retrieval info: CONNECT: @address_b 0 0 11 0 address_b 0 0 11 0
254
// Retrieval info: CONNECT: @byteena_a 0 0 2 0 byteena_a 0 0 2 0
255
// Retrieval info: CONNECT: @clock0 0 0 0 0 clock_a 0 0 0 0
256
// Retrieval info: CONNECT: @clock1 0 0 0 0 clock_b 0 0 0 0
257
// Retrieval info: CONNECT: @data_a 0 0 16 0 data_a 0 0 16 0
258
// Retrieval info: CONNECT: @data_b 0 0 16 0 data_b 0 0 16 0
259
// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0
260
// Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0
261
// Retrieval info: CONNECT: q_a 0 0 16 0 @q_a 0 0 16 0
262
// Retrieval info: CONNECT: q_b 0 0 16 0 @q_b 0 0 16 0
263
// Retrieval info: GEN_FILE: TYPE_NORMAL FrameBufferRAM.v TRUE
264
// Retrieval info: GEN_FILE: TYPE_NORMAL FrameBufferRAM.inc FALSE
265
// Retrieval info: GEN_FILE: TYPE_NORMAL FrameBufferRAM.cmp FALSE
266
// Retrieval info: GEN_FILE: TYPE_NORMAL FrameBufferRAM.bsf FALSE
267
// Retrieval info: GEN_FILE: TYPE_NORMAL FrameBufferRAM_inst.v FALSE
268
// Retrieval info: GEN_FILE: TYPE_NORMAL FrameBufferRAM_bb.v FALSE
269
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.