OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [fpga/] [bios/] [BIOSControlRegister.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
// Copyright Jamie Iles, 2017
2
//
3
// This file is part of s80x86.
4
//
5
// s80x86 is free software: you can redistribute it and/or modify
6
// it under the terms of the GNU General Public License as published by
7
// the Free Software Foundation, either version 3 of the License, or
8
// (at your option) any later version.
9
//
10
// s80x86 is distributed in the hope that it will be useful,
11
// but WITHOUT ANY WARRANTY; without even the implied warranty of
12
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
// GNU General Public License for more details.
14
//
15
// You should have received a copy of the GNU General Public License
16
// along with s80x86.  If not, see .
17
 
18
module BIOSControlRegister(input logic clk,
19
                           input logic reset,
20
                           input logic cs,
21
                           output logic [15:0] data_m_data_out,
22
                           input logic [15:0] data_m_data_in,
23
                           input logic data_m_wr_en,
24
                           input logic data_m_access,
25
                           output logic data_m_ack,
26
                           output logic bios_enabled);
27
 
28
always_ff @(posedge clk) begin
29
    data_m_data_out <= data_m_access && cs && !data_m_wr_en ?
30
        {15'b0, bios_enabled} : 16'b0;
31
    data_m_ack <= data_m_access && cs;
32
end
33
 
34
always_ff @(posedge clk or posedge reset) begin
35
    if (reset)
36
        bios_enabled <= 1'b1;
37
    else if (cs && data_m_access && data_m_wr_en)
38
        bios_enabled <= data_m_data_in[0];
39
end
40
 
41
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.