OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [fpga/] [irq/] [IRQControl.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
// Copyright Jamie Iles, 2017
2
//
3
// This file is part of s80x86.
4
//
5
// s80x86 is free software: you can redistribute it and/or modify
6
// it under the terms of the GNU General Public License as published by
7
// the Free Software Foundation, either version 3 of the License, or
8
// (at your option) any later version.
9
//
10
// s80x86 is distributed in the hope that it will be useful,
11
// but WITHOUT ANY WARRANTY; without even the implied warranty of
12
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
// GNU General Public License for more details.
14
//
15
// You should have received a copy of the GNU General Public License
16
// along with s80x86.  If not, see .
17
 
18
module IRQController(input logic clk,
19
                     input logic reset,
20
                     input logic cs,
21
                     // verilator lint_off UNUSED
22
                     input logic [15:0] data_m_data_in,
23
                     input logic [1:0] data_m_bytesel,
24
                     // verilator lint_on UNUSED
25
                     output logic [15:0] data_m_data_out,
26
                     input logic data_m_wr_en,
27
                     input logic data_m_access,
28
                     output logic data_m_ack,
29
                     output logic nmi,
30
                     output logic [6:0] intr_test);
31
 
32
reg nmi_test;
33
 
34
wire access_test = cs & data_m_access & data_m_bytesel[0];
35
 
36
always_ff @(posedge clk)
37
    data_m_ack <= data_m_access & cs;
38
 
39
always_ff @(posedge clk)
40
    data_m_data_out <= cs & data_m_access & ~data_m_wr_en ?
41
        {8'b0, nmi_test, intr_test} : 16'b0;
42
 
43
always_ff @(posedge clk or posedge reset)
44
    if (reset)
45
        {nmi_test, intr_test} <= 8'b0;
46
    else if (access_test & data_m_wr_en)
47
        {nmi_test, intr_test} <= data_m_data_in[7:0];
48
 
49
always_ff @(posedge clk or posedge reset)
50
    if (reset)
51
        nmi <= 1'b0;
52
    else
53
        nmi <= nmi_test;
54
 
55
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.