OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [fpga/] [uart/] [UartPorts.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
// Copyright Jamie Iles, 2017
2
//
3
// This file is part of s80x86.
4
//
5
// s80x86 is free software: you can redistribute it and/or modify
6
// it under the terms of the GNU General Public License as published by
7
// the Free Software Foundation, either version 3 of the License, or
8
// (at your option) any later version.
9
//
10
// s80x86 is distributed in the hope that it will be useful,
11
// but WITHOUT ANY WARRANTY; without even the implied warranty of
12
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
// GNU General Public License for more details.
14
//
15
// You should have received a copy of the GNU General Public License
16
// along with s80x86.  If not, see .
17
 
18
module UartPorts #(parameter clk_freq = 50000000)
19
                  (input logic clk,
20
                   input logic reset,
21
                   input logic cs,
22
                   input logic [15:0] data_m_data_in,
23
                   output logic [15:0] data_m_data_out,
24
                   input logic [1:0] data_m_bytesel,
25
                   input logic data_m_wr_en,
26
                   input logic data_m_access,
27
                   output logic data_m_ack,
28
                   input logic rx,
29
                   output logic tx);
30
 
31
wire access_status = cs & data_m_access & data_m_bytesel[1];
32
wire access_data = cs & data_m_access & data_m_bytesel[0];
33
 
34
reg rdy_clr;
35
wire tx_busy;
36
wire rdy;
37
wire [7:0] dout;
38
wire [7:0] din = data_m_data_in[7:0];
39
wire wr_en = access_data & data_m_wr_en & data_m_bytesel[0];
40
 
41
wire [7:0] status = {6'b0, tx_busy, rdy};
42
 
43
wire [15:0] data_val = {
44
    access_status & ~data_m_wr_en ? status : 8'b0,
45
    access_data & ~data_m_wr_en ? dout : 8'b0
46
};
47
 
48
Uart #(.clk_freq(clk_freq))
49
     Uart(.*);
50
 
51
always_ff @(posedge clk)
52
    data_m_ack <= data_m_access & cs;
53
 
54
always_ff @(posedge clk)
55
    data_m_data_out <= data_val;
56
 
57
always_ff @(posedge clk)
58
    rdy_clr <= access_data && !data_m_wr_en;
59
 
60
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.