OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [rtl/] [alu/] [aas.sv] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
// Copyright Jamie Iles, 2017
2
//
3
// This file is part of s80x86.
4
//
5
// s80x86 is free software: you can redistribute it and/or modify
6
// it under the terms of the GNU General Public License as published by
7
// the Free Software Foundation, either version 3 of the License, or
8
// (at your option) any later version.
9
//
10
// s80x86 is distributed in the hope that it will be useful,
11
// but WITHOUT ANY WARRANTY; without even the implied warranty of
12
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
// GNU General Public License for more details.
14
//
15
// You should have received a copy of the GNU General Public License
16
// along with s80x86.  If not, see .
17
 
18
task do_aas;
19
    output [15:0] out;
20
    input [15:0] a;
21
    input [15:0] flags_in;
22
    output [15:0] flags_out;
23
 
24
    begin
25
        flags_out = flags_in;
26
        out = a;
27
        if (a[3:0] > 4'd9 || flags_in[AF_IDX]) begin
28
            out[3:0] -= 4'd6;
29
            out[7:4] = 4'b0;
30
            out[15:8] -= 8'b1;
31
            flags_out[CF_IDX] = 1'b1;
32
            flags_out[AF_IDX] = 1'b1;
33
        end else begin
34
            flags_out[CF_IDX] = 1'b0;
35
            flags_out[AF_IDX] = 1'b0;
36
        end
37
    end
38
endtask

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.