OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [rtl/] [microcode/] [esc.us] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
// Copyright Jamie Iles, 2017
2
//
3
// This file is part of s80x86.
4
//
5
// s80x86 is free software: you can redistribute it and/or modify
6
// it under the terms of the GNU General Public License as published by
7
// the Free Software Foundation, either version 3 of the License, or
8
// (at your option) any later version.
9
//
10
// s80x86 is distributed in the hope that it will be useful,
11
// but WITHOUT ANY WARRANTY; without even the implied warranty of
12
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
// GNU General Public License for more details.
14
//
15
// You should have received a copy of the GNU General Public License
16
// along with s80x86.  If not, see .
17
 
18
#include 
19
 
20
.at 0xd8;
21
    modrm_start, jmp do_esc;
22
.at 0xd9;
23
    modrm_start, jmp do_esc;
24
.at 0xda;
25
    modrm_start, jmp do_esc;
26
.at 0xdb;
27
    modrm_start, jmp do_esc;
28
.at 0xdc;
29
    modrm_start, jmp do_esc;
30
.at 0xdd;
31
    modrm_start, jmp do_esc;
32
.at 0xde;
33
    modrm_start, jmp do_esc;
34
.at 0xdf;
35
    modrm_start, jmp do_esc;
36
 
37
.auto_address;
38
do_esc:
39
#if (S80X86_TRAP_ESCAPE == 1)
40
    b_sel IMMEDIATE, immediate 0x1c, alu_op SELB, tmp_wr_en, jmp do_int;
41
#else
42
    next_instruction;
43
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.