OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [rtl/] [microcode/] [lds.us] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
// Copyright Jamie Iles, 2017
2
//
3
// This file is part of s80x86.
4
//
5
// s80x86 is free software: you can redistribute it and/or modify
6
// it under the terms of the GNU General Public License as published by
7
// the Free Software Foundation, either version 3 of the License, or
8
// (at your option) any later version.
9
//
10
// s80x86 is distributed in the hope that it will be useful,
11
// but WITHOUT ANY WARRANTY; without even the implied warranty of
12
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
// GNU General Public License for more details.
14
//
15
// You should have received a copy of the GNU General Public License
16
// along with s80x86.  If not, see .
17
 
18
.at 0xc5;
19
    modrm_start, mar_write, mar_wr_sel EA, segment DS,
20
        jmp_rm_reg_mem lds_reg, segment DS;
21
.auto_address;
22
lds_reg:
23
    next_instruction;
24
lds_mem:
25
    segment DS, mem_read;
26
    rd_sel_source MODRM_REG, a_sel MDR, alu_op SELA,
27
        segment DS;
28
    a_sel MAR, alu_op ADD, b_sel IMMEDIATE, immediate 0x2,
29
        mar_write, mar_wr_sel Q, segment DS;
30
    segment DS, mem_read;
31
    segment_force, segment DS, segment_wr_en, a_sel MDR, alu_op SELA,
32
        next_instruction;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.