OpenCores
URL https://opencores.org/ocsvn/s80186/s80186/trunk

Subversion Repositories s80186

[/] [s80186/] [trunk/] [rtl/] [microcode/] [prefixes.us] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jamieiles
// Copyright Jamie Iles, 2017
2
//
3
// This file is part of s80x86.
4
//
5
// s80x86 is free software: you can redistribute it and/or modify
6
// it under the terms of the GNU General Public License as published by
7
// the Free Software Foundation, either version 3 of the License, or
8
// (at your option) any later version.
9
//
10
// s80x86 is distributed in the hope that it will be useful,
11
// but WITHOUT ANY WARRANTY; without even the implied warranty of
12
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
// GNU General Public License for more details.
14
//
15
// You should have received a copy of the GNU General Public License
16
// along with s80x86.  If not, see .
17
 
18
// ES segment override
19
.at 0x26;
20
    segment ES, prefix_type SEGMENT_OVERRIDE, jmp_opcode;
21
 
22
// CS segment override
23
.at 0x2e;
24
    segment CS, prefix_type SEGMENT_OVERRIDE, jmp_opcode;
25
 
26
// SS segment override
27
.at 0x36;
28
    segment SS, prefix_type SEGMENT_OVERRIDE, jmp_opcode;
29
 
30
// DS segment override
31
.at 0x3e;
32
    segment DS, prefix_type SEGMENT_OVERRIDE, jmp_opcode;
33
 
34
// REPNE prefix
35
.at 0xf2;
36
    prefix_type REPNE, jmp_opcode;
37
 
38
// REPE prefix
39
.at 0xf3;
40
    prefix_type REPE, jmp_opcode;
41
 
42
// LOCK prefix
43
.at 0xf0;
44
    jmp_opcode;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.