OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [toolchain/] [scarts-gcc/] [gcc-4.1.1/] [libstdc++-v3/] [testsuite/] [27_io/] [basic_ostream/] [inserters_arithmetic/] [char/] [4.cc] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 jlechner
// 1999-11-15 Kevin Ediger  <kediger@licor.com>
2
// test the floating point inserters (facet num_put)
3
 
4
// Copyright (C) 1999, 2002, 2003, 2005 Free Software Foundation, Inc.
5
//
6
// This file is part of the GNU ISO C++ Library.  This library is free
7
// software; you can redistribute it and/or modify it under the
8
// terms of the GNU General Public License as published by the
9
// Free Software Foundation; either version 2, or (at your option)
10
// any later version.
11
 
12
// This library is distributed in the hope that it will be useful,
13
// but WITHOUT ANY WARRANTY; without even the implied warranty of
14
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
15
// GNU General Public License for more details.
16
 
17
// You should have received a copy of the GNU General Public License along
18
// with this library; see the file COPYING.  If not, write to the Free
19
// Software Foundation, 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301,
20
// USA.
21
 
22
#include <iomanip>
23
#include <sstream>
24
#include <testsuite_hooks.h>
25
 
26
// libstdc++/3655
27
int
28
test04()
29
{
30
  using namespace std;
31
  bool test __attribute__((unused)) = true;
32
 
33
  stringbuf strbuf1, strbuf2;
34
  ostream o1(&strbuf1), o2(&strbuf2);
35
 
36
  o1 << hex << showbase << setw(6) << internal << 0xff;
37
  VERIFY( strbuf1.str() == "0x  ff" );
38
 
39
  // ... vs internal-adjusted const char*-type objects
40
  o2 << hex << showbase << setw(6) << internal << "0xff";
41
  VERIFY( strbuf2.str() == "  0xff" );
42
 
43
  return 0;
44
}
45
 
46
int
47
main()
48
{
49
  test04();
50
  return 0;
51
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.