OpenCores
URL https://opencores.org/ocsvn/scarts/scarts/trunk

Subversion Repositories scarts

[/] [scarts/] [trunk/] [toolchain/] [scarts-gcc/] [gcc-4.1.1/] [libstdc++-v3/] [testsuite/] [27_io/] [basic_stringbuf/] [sbumpc/] [wchar_t/] [9825.cc] - Blame information for rev 19

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 19 jlechner
// 2001-05-21 Benjamin Kosnik  <bkoz@redhat.com>
2
 
3
// Copyright (C) 2001, 2002, 2003, 2004 Free Software Foundation, Inc.
4
//
5
// This file is part of the GNU ISO C++ Library.  This library is free
6
// software; you can redistribute it and/or modify it under the
7
// terms of the GNU General Public License as published by the
8
// Free Software Foundation; either version 2, or (at your option)
9
// any later version.
10
 
11
// This library is distributed in the hope that it will be useful,
12
// but WITHOUT ANY WARRANTY; without even the implied warranty of
13
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
14
// GNU General Public License for more details.
15
 
16
// You should have received a copy of the GNU General Public License along
17
// with this library; see the file COPYING.  If not, write to the Free
18
// Software Foundation, 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301,
19
// USA.
20
 
21
// 27.8.1.4 Overridden virtual functions
22
 
23
#include <sstream>
24
#include <testsuite_hooks.h>
25
 
26
// libstdc++/9825
27
// filebuf::sputbackc breaks sbumpc
28
void test12()
29
{
30
  using namespace std;
31
  bool test __attribute__((unused)) = true;
32
 
33
  wstringbuf sbuf;
34
  sbuf.sputn(L"crazy bees!", 11);
35
  sbuf.pubseekoff(0, ios_base::beg);
36
  sbuf.sbumpc();
37
  sbuf.sputbackc(L'x');
38
  stringbuf::int_type c = sbuf.sbumpc();
39
  VERIFY( c == L'x' );
40
  c = sbuf.sbumpc();
41
  VERIFY( c == L'r' );
42
  c = sbuf.sbumpc();
43
  VERIFY( c == L'a' );
44
}
45
 
46
int main()
47
{
48
  test12();
49
  return 0;
50
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.