OpenCores
URL https://opencores.org/ocsvn/scct/scct/trunk

Subversion Repositories scct

[/] [scct/] [trunk/] [verilog/] [scct_constants.v] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 fkluge
// $Id: scct_constants.v 2 2015-06-15 13:52:02Z fkluge $
2
// Constants for the Simple Capture/Compare Timer (SCCT)
3
 
4
`define SCCT_COUNTER_CTR_WIDTH 32
5
//`define SCCT_COUNTER_CTR_ACT_WIDTH `SCCT_COUNTER_CTR_WIDTH+1
6
//`define SCCT_COUNTER_CTR_MSB `SCCT_COUNTER_CTR_ACT_WIDTH-1
7
`define SCCT_COUNTER_CTR_OV_BIT `SCCT_COUNTER_CTR_WIDTH
8
`define SCCT_COUNTER_PSC_WIDTH 32
9
 
10
// Channel modes
11
`define SCCT_CH_MS_IC 1'b0
12
`define SCCT_CH_MS_OC 1'b1
13
 
14
// IC mode
15
`define SCCT_IC_NONE    2'b00
16
`define SCCT_IC_POSEDGE 2'b01
17
`define SCCT_IC_NEGEDGE 2'b10
18
`define SCCT_IC_ANYEDGE 2'b11
19
// IC mode bits (only internally used)
20
`define SCCT_IC_POSEDGE_BIT 0
21
`define SCCT_IC_NEGEDGE_BIT 1
22
 
23
// OC MODE
24
`define SCCT_OC_NONE    2'b00
25
`define SCCT_OC_HIGH    2'b01
26
`define SCCT_OC_LOW     2'b10
27
`define SCCT_OC_TOGGLE  2'b11
28
 
29
`define SCCT_N_CHANNELS 8
30
 
31
// Addresses
32
`define SCCT_CTR 5'h00
33
`define SCCT_PSC 5'h01
34
`define SCCT_CTR_IE 5'h02
35
`define SCCT_CTR_IS 5'h03
36
 
37
`define SCCT_CH_MS 5'h08
38
`define SCCT_CH_ACT 5'h09
39
`define SCCT_CH_IE 5'h0a
40
`define SCCT_CH_IS 5'h0b
41
`define SCCT_CH_OCF 5'h0c
42
`define SCCT_CH_INP 5'h0d
43
`define SCCT_CH_OUT 5'h0e
44
 
45
`define SCCT_CH_CCR0 5'h10
46
`define SCCT_CH_CCR1 5'h11
47
`define SCCT_CH_CCR2 5'h12
48
`define SCCT_CH_CCR3 5'h13
49
`define SCCT_CH_CCR4 5'h14
50
`define SCCT_CH_CCR5 5'h15
51
`define SCCT_CH_CCR6 5'h16
52
`define SCCT_CH_CCR7 5'h17
53
 
54
 
55
/*`define SCCT_
56
`define SCCT_
57
`define SCCT_
58
`define SCCT_
59
`define SCCT_
60
`define SCCT_
61
`define SCCT_*/

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.