OpenCores
URL https://opencores.org/ocsvn/sdcard_mass_storage_controller/sdcard_mass_storage_controller/trunk

Subversion Repositories sdcard_mass_storage_controller

[/] [sdcard_mass_storage_controller/] [trunk/] [sim/] [rtl_sim/] [run/] [comp.do] - Blame information for rev 129

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 129 tac2
--Require Modelsim
2
--Tested on Modelsim 6.5b Revison 2009.05
3
puts {
4
  ModelSimSE SD_HOST_CONTROLLER compile script version 1.1
5
  Copyright (c) Doulos June 2004, SD
6
}
7
 
8
# Simply change the project settings in this section
9
# for each new project. There should be no need to
10
# modify the rest of the script.
11
 
12
set library_file_list {
13
                           design_library {
14
                                                                                        ../../../rtl/sdc_dma/verilog/SD_defines.v
15
                                                                                        ../../../rtl/sdc_dma/verilog/SD_Bd.v
16
                                                                                        ../../../rtl/sdc_dma/verilog/SD_clock_divider.v
17
                                                                                        ../../../rtl/sdc_dma/verilog/SD_cmd_master.v
18
                                                                                        ../../../rtl/sdc_dma/verilog/SD_cmd_serial_host.v
19
                                                                                        ../../../rtl/sdc_dma/verilog/SD_controller_top.v
20
                                                                                        ../../../rtl/sdc_dma/verilog/SD_controller_wb.v
21
                                                                                        ../../../rtl/sdc_dma/verilog/SD_crc_7.v
22
                                                                                        ../../../rtl/sdc_dma/verilog/SD_crc_16.v
23
                                                                                        ../../../rtl/sdc_dma/verilog/SD_data_host.v
24
                                                                                        ../../../rtl/sdc_dma/verilog/SD_data_master.v
25
                                                                                        ../../../rtl/sdc_dma/verilog/SD_FIFO_RX_Filler.v
26
                                                                                        ../../../rtl/sdc_dma/verilog/SD_FIFO_TX_Filler.v
27
 
28
                                                                                }
29
 
30
                           test_library   {     ../../../bench/sdc_dma/verilog/wb_model_defines.v
31
                                                                                        ../../../bench/sdc_dma/verilog/SD_controller_top_tb.v
32
                                                                                                                                                                                ../../../bench/sdc_dma/verilog/sdModel.v
33
                                                                                        ../../../bench/sdc_dma/verilog/timescale.v
34
                                                                                        ../../../bench/sdc_dma/verilog/wb_bus_mon.v
35
                                                                                        ../../../bench/sdc_dma/verilog/wb_master32.v
36
                                                                                        ../../../bench/sdc_dma/verilog/wb_master_behavioral.v
37
                                                                                        ../../../bench/sdc_dma/verilog/wb_slave_behavioral.v
38
                                                                                        ../../../rtl/sdc_dma/verilog/SD_defines.v
39
                                                                                        ../../../rtl/sdc_dma/verilog/SD_Bd.v
40
                                                                                        ../../../rtl/sdc_dma/verilog/SD_clock_divider.v
41
                                                                                        ../../../rtl/sdc_dma/verilog/SD_cmd_master.v
42
                                                                                        ../../../rtl/sdc_dma/verilog/SD_cmd_serial_host.v
43
                                                                                        ../../../rtl/sdc_dma/verilog/SD_controller_top.v
44
                                                                                        ../../../rtl/sdc_dma/verilog/SD_controller_wb.v
45
                                                                                        ../../../rtl/sdc_dma/verilog/SD_crc_7.v
46
                                                                                        ../../../rtl/sdc_dma/verilog/SD_crc_16.v
47
                                                                                        ../../../rtl/sdc_dma/verilog/SD_data_host.v
48
                                                                                        ../../../rtl/sdc_dma/verilog/SD_data_master.v
49
                                                                                        ../../../rtl/sdc_dma/verilog/SD_FIFO_RX_Filler.v
50
                                                                                        ../../../rtl/sdc_dma/verilog/SD_FIFO_TX_Filler.v
51
                                                                                        ../../../rtl/sdc_dma/verilog/fifo/smii_rx_fifo.v
52
                                                                                        ../../../rtl/sdc_dma/verilog/fifo/smii_tx_fifo.v
53
                                                                                }
54
}
55
set top_level              test_library.SD_controller_top_tb
56
 
57
 
58
 
59
set wave_patterns {
60
                           /*
61
}
62
set wave_radices {
63
                           hexadecimal {data q}
64
}
65
 
66
puts {
67
  Script commands are:
68
 
69
  r = Recompile changed and dependent files
70
 rr = Recompile everything
71
  q = Quit without confirmation
72
}
73
# After sourcing the script from ModelSim for the
74
# first time use these commands to recompile.
75
 
76
proc r  {} {uplevel #0 source compile.tcl}
77
proc rr {} {global last_compile_time
78
            set last_compile_time 0
79
            r                            }
80
proc q  {} {quit -force                  }
81
 
82
#Does this installation support Tk?
83
set tk_ok 1
84
if [catch {package require Tk}] {set tk_ok 0}
85
 
86
# Prefer a fixed point font for the transcript
87
set PrefMain(font) {Courier 10 roman normal}
88
 
89
# Compile out of date files
90
 set time_now [clock seconds]
91
 if [catch {set last_compile_time}] {
92
   set last_compile_time 0
93
 }
94
foreach {library file_list} $library_file_list {
95
  vlib $library
96
  vmap work $library
97
  foreach file $file_list {
98
    if { $last_compile_time < [file mtime $file] } {
99
      if [regexp {.vhdl?$} $file] {
100
        vcom -93 $file
101
      } else {
102
        vlog +incdir+../../../rtl/sdc_dma/verilog/ +incdir+../../../bench/sdc_dma/verilog/ $file
103
      }
104
      set last_compile_time 0
105
    }
106
  }
107
}
108
set last_compile_time $time_now
109
 
110
# Load the simulation
111
eval vsim $top_level
112
 
113
# If waves are required
114
if [llength $wave_patterns] {
115
  noview wave
116
  foreach pattern $wave_patterns {
117
    add wave $pattern
118
  }
119
  configure wave -signalnamewidth 1
120
  foreach {radix signals} $wave_radices {
121
    foreach signal $signals {
122
      catch {property wave -radix $radix $signal}
123
    }
124
  }
125
  if $tk_ok {wm geometry .wave [winfo screenwidth .]x330+0-20}
126
}
127
 
128
# Run the simulation
129
# run -all
130
 
131
# If waves are required
132
if [llength $wave_patterns] {
133
  if $tk_ok {.wave.tree zoomfull}
134
}
135
 
136
 
137
 
138
# How long since project began?
139
if {[file isfile start_time.txt] == 0} {
140
  set f [open start_time.txt w]
141
  puts $f "Start time was [clock seconds]"
142
  close $f
143
} else {
144
  set f [open start_time.txt r]
145
  set line [gets $f]
146
  close $f
147
  regexp {\d+} $line start_time
148
  set total_time [expr ([clock seconds]-$start_time)/60]
149
  puts "Project time is $total_time minutes"
150
}
151
 
152
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.