OpenCores
URL https://opencores.org/ocsvn/sdcard_mass_storage_controller/sdcard_mass_storage_controller/trunk

Subversion Repositories sdcard_mass_storage_controller

[/] [sdcard_mass_storage_controller/] [trunk/] [sim/] [rtl_sim/] [run/] [comp.do] - Blame information for rev 136

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 129 tac2
--Require Modelsim
2
--Tested on Modelsim 6.5b Revison 2009.05
3
puts {
4
  ModelSimSE SD_HOST_CONTROLLER compile script version 1.1
5 136 tac2
  Copyright (c) Doulos June 2004,
6
  Modifed 2010, Adam Edvardsson, ORSoC
7 129 tac2
}
8
 
9
# Simply change the project settings in this section
10
# for each new project. There should be no need to
11
# modify the rest of the script.
12
 
13
set library_file_list {
14
                           design_library {
15 136 tac2
                                                                                        ../../../rtl/sdc_dma/verilog/sd_defines.v
16
                                                                                        ../../../rtl/sdc_dma/verilog/sd_bd.v
17
                                                                                        ../../../rtl/sdc_dma/verilog/sd_clock_divider.v
18
                                                                                        ../../../rtl/sdc_dma/verilog/sd_cmd_master.v
19
                                                                                        ../../../rtl/sdc_dma/verilog/sd_cmd_serial_host.v
20
                                                                                        ../../../rtl/sdc_dma/verilog/sdc_controller.v
21
                                                                                        ../../../rtl/sdc_dma/verilog/sd_controller_wb.v
22
                                                                                        ../../../rtl/sdc_dma/verilog/sd_crc_7.v
23
                                                                                        ../../../rtl/sdc_dma/verilog/sd_crc_16.v
24
                                                                                        ../../../rtl/sdc_dma/verilog/sd_data_serial_host.v
25
                                                                                        ../../../rtl/sdc_dma/verilog/sd_data_master.v
26
                                                                                        ../../../rtl/sdc_dma/verilog/sd_fifo_rx_filler.v
27
                                                                                        ../../../rtl/sdc_dma/verilog/sd_fifo_tx_filler.v
28 129 tac2
 
29
                                                                                }
30
 
31
                           test_library   {     ../../../bench/sdc_dma/verilog/wb_model_defines.v
32 136 tac2
                                                                                        ../../../bench/sdc_dma/verilog/sd_controller_top_tb.v
33
                                                                                        ../../../bench/sdc_dma/verilog/sdModel.v
34 129 tac2
                                                                                        ../../../bench/sdc_dma/verilog/timescale.v
35
                                                                                        ../../../bench/sdc_dma/verilog/wb_bus_mon.v
36
                                                                                        ../../../bench/sdc_dma/verilog/wb_master32.v
37
                                                                                        ../../../bench/sdc_dma/verilog/wb_master_behavioral.v
38
                                                                                        ../../../bench/sdc_dma/verilog/wb_slave_behavioral.v
39 136 tac2
                                                                                        ../../../rtl/sdc_dma/verilog/sd_defines.v
40
                                                                                        ../../../rtl/sdc_dma/verilog/sd_bd.v
41
                                                                                        ../../../rtl/sdc_dma/verilog/sd_clock_divider.v
42
                                                                                        ../../../rtl/sdc_dma/verilog/sd_cmd_master.v
43
                                                                                        ../../../rtl/sdc_dma/verilog/sd_cmd_serial_host.v
44
                                                                                        ../../../rtl/sdc_dma/verilog/sdc_controller.v
45
                                                                                        ../../../rtl/sdc_dma/verilog/sd_controller_wb.v
46
                                                                                        ../../../rtl/sdc_dma/verilog/sd_crc_7.v
47
                                                                                        ../../../rtl/sdc_dma/verilog/sd_crc_16.v
48
                                                                                        ../../../rtl/sdc_dma/verilog/sd_data_serial_host.v
49
                                                                                        ../../../rtl/sdc_dma/verilog/sd_data_master.v
50
                                                                                        ../../../rtl/sdc_dma/verilog/sd_fifo_rx_filler.v
51
                                                                                        ../../../rtl/sdc_dma/verilog/sd_fifo_tx_filler.v
52
                                                                                        ../../../rtl/sdc_dma/verilog/sd_rx_fifo.v
53
                                                                                        ../../../rtl/sdc_dma/verilog/sd_tx_fifo.v
54 129 tac2
                                                                                }
55
}
56 136 tac2
set top_level              test_library.sd_controller_top_tb
57 129 tac2
 
58
 
59
 
60
set wave_patterns {
61
                           /*
62
}
63
set wave_radices {
64
                           hexadecimal {data q}
65
}
66
 
67
puts {
68
  Script commands are:
69
 
70
  r = Recompile changed and dependent files
71
 rr = Recompile everything
72
  q = Quit without confirmation
73
}
74
# After sourcing the script from ModelSim for the
75
# first time use these commands to recompile.
76
 
77
proc r  {} {uplevel #0 source compile.tcl}
78
proc rr {} {global last_compile_time
79
            set last_compile_time 0
80
            r                            }
81
proc q  {} {quit -force                  }
82
 
83
#Does this installation support Tk?
84
set tk_ok 1
85
if [catch {package require Tk}] {set tk_ok 0}
86
 
87
# Prefer a fixed point font for the transcript
88
set PrefMain(font) {Courier 10 roman normal}
89
 
90
# Compile out of date files
91
 set time_now [clock seconds]
92
 if [catch {set last_compile_time}] {
93
   set last_compile_time 0
94
 }
95
foreach {library file_list} $library_file_list {
96
  vlib $library
97
  vmap work $library
98
  foreach file $file_list {
99
    if { $last_compile_time < [file mtime $file] } {
100
      if [regexp {.vhdl?$} $file] {
101
        vcom -93 $file
102
      } else {
103
        vlog +incdir+../../../rtl/sdc_dma/verilog/ +incdir+../../../bench/sdc_dma/verilog/ $file
104
      }
105
      set last_compile_time 0
106
    }
107
  }
108
}
109
set last_compile_time $time_now
110
 
111
# Load the simulation
112
eval vsim $top_level
113
 
114
# If waves are required
115
if [llength $wave_patterns] {
116
  noview wave
117
  foreach pattern $wave_patterns {
118
    add wave $pattern
119
  }
120
  configure wave -signalnamewidth 1
121
  foreach {radix signals} $wave_radices {
122
    foreach signal $signals {
123
      catch {property wave -radix $radix $signal}
124
    }
125
  }
126 136 tac2
 # if $tk_ok {wm geometry .wave [winfo screenwidth .]x330+0-20}
127 129 tac2
}
128
 
129
# Run the simulation
130 136 tac2
 when {/sd_controller_top_tb/succes = 1} {stop}
131 130 tac2
 run -all
132 132 tac2
 
133 129 tac2
 
134
# If waves are required
135
if [llength $wave_patterns] {
136
  if $tk_ok {.wave.tree zoomfull}
137
}
138
 
139
 
140
 
141
# How long since project began?
142
if {[file isfile start_time.txt] == 0} {
143
  set f [open start_time.txt w]
144
  puts $f "Start time was [clock seconds]"
145
  close $f
146
} else {
147
  set f [open start_time.txt r]
148
  set line [gets $f]
149
  close $f
150
  regexp {\d+} $line start_time
151
  set total_time [expr ([clock seconds]-$start_time)/60]
152
  puts "Project time is $total_time minutes"
153
}
154
 
155
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.