OpenCores
URL https://opencores.org/ocsvn/sdr_ctrl/sdr_ctrl/trunk

Subversion Repositories sdr_ctrl

[/] [sdr_ctrl/] [trunk/] [synth/] [constraints/] [sdrc_synth.sdc] - Blame information for rev 57

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 57 dinesha
# Syntheis command format
2
#"-from" objects must be of type clock (c:), port (p:), inst (i:), or pin (t:)
3
#
4
#166MHz - Application CLOCK
5
#set APP_CLK_PER             6.0
6
#set APP_CLK_HALF_PER         [expr APP_CLK_PER]
7
 
8
 
9
#100MHz - SDRAM Clock
10
#set SDR_CLK_PER             10.0
11
#set SDI_CLK_HALF_PER         [expr $SDR_CLK_PERR/2]
12
 
13
 
14
####################################
15
# Clear Application Clock : 200Mhz
16
####################################
17
 
18
create_clock -name wb_clk_i -period 6 -waveform {0 3} p:wb_clk_i
19
#set_clock_uncertainty -max 0.2 [get_clocks wb_clk_i]
20
#set_clock_uncertainty -min  0.1 [get_clocks wb_clk_i]
21
#set_clock_latency 2.0 [get_clocks wb_clk_i]
22
 
23
########################################
24
# Create SDRAM Clock to 100Mhz
25
########################################
26
create_clock -name sdram_clk -period 10 -waveform {0 5} p:sdram_clk
27
#set_clock_uncertainty -max 0.2 [get_clocks sdram_clk]
28
#set_clock_uncertainty -min  0.1 [get_clocks sdram_clk]
29
#set_clock_latency 2.0 [get_clocks sdram_clk]
30
 
31
 
32
###########################################
33
# Set false path between application and SDRAM Clock
34
##########################################
35
set_false_path -from c:wb_clk_i  -to   c:sdram_clk
36
set_false_path -to   c:wb_clk_i  -from c:sdram_clk
37
 
38
 
39
########################################
40
# Set false path through reset
41
########################################
42
set_false_path -from p:wb_rst_i
43
set_false_path -from p:sdram_resetn
44
 
45
 
46
########################################
47
# Set False path to all the configuration input
48
########################################
49
 
50
#set_false_path -from p:{cfg_colbits[*] cfg_req_depth[*] cfg_sdr_cas[*]}
51
#set_false_path -from p:{cfg_sdr_en cfg_sdr_mode_reg[*] cfg_sdr_rfmax[*]]
52
#set_false_path -from p:{cfg_sdr_rfsh[*] cfg_sdr_tras_d[*] cfg_sdr_trcar_d[*]}
53
#set_false_path -from p:{cfg_sdr_trcd_d[*] cfg_sdr_trp_d[*] cfg_sdr_twr_d[*]}
54
#set_false_path -from p:cfg_sdr_width[*]
55
 
56
 
57
########################################
58
# Application Port Input Contraints
59
# Since Application Signal will be internally terminated and
60
# there is will not be any additional FPGA pad delay of 3ns.
61
#  So input delay constraint changed form 3ns to 0ns
62
#
63
#######################################
64
#set_input_delay 3 -max [ wb_stb_i wb_addr_i wb_we_i wb_dat_i wb_sel_i wb_cyc_i wb_cti_i] -clock wb_clk_i
65
set_input_delay 0 -max { wb_stb_i wb_addr_i[*] wb_we_i wb_dat_i[*] wb_sel_i[*] wb_cyc_i wb_cti_i[*]} -clock wb_clk_i
66
set_input_delay 0.5  -min { wb_stb_i wb_addr_i[*] wb_we_i wb_dat_i[*] wb_sel_i[*] wb_cyc_i wb_cti_i[*]} -clock wb_clk_i
67
 
68
 
69
########################################
70
# Application Port Output Contraints
71
# Since Application Signal will be internally terminstated and
72
# there will not be addiitional FPGA pad delay of 3ns.
73
#  So output delat contraints are changed from 3ns to 0ns
74
#######################################
75
 
76
#set_output_delay 3   -max [ wb_ack_o wb_dat_o ]  -clock wb_clk_i
77
set_output_delay 0    -max wb_ack_o   -clock wb_clk_i
78
set_output_delay 3    -max {wb_dat_o[*]}   -clock wb_clk_i
79
set_output_delay 0.5  -min wb_ack_o  -clock  wb_clk_i
80
set_output_delay 0.5  -min {wb_dat_o[*]}  -clock  wb_clk_i
81
 
82
 
83
 
84
#######################################
85
# SDRAM Input Contraints
86
# 10 - 2 = 8ns
87
#######################################
88
 
89
set_input_delay 5    -max  {sdr_dq[*]} -clock sdram_clk
90
set_input_delay 0.5  -min  {sdr_dq[*]} -clock sdram_clk
91
 
92
 
93
#######################################
94
# Set the SDRAM Output delay constraints
95
#######################################
96
 
97
set_output_delay 5 -max { sdr_cs_n sdr_cke sdr_ras_n sdr_cas_n sdr_we_n sdr_dqm[*] sdr_ba[*] sdr_addr[*] sdr_dq[*] }  -clock sdram_clk
98
set_output_delay -2  -min { sdr_cs_n sdr_cke sdr_ras_n sdr_cas_n sdr_we_n sdr_dqm[*] sdr_ba[*] sdr_addr[*] sdr_dq[*] }  -clock sdram_clk
99
 
100
 
101
 
102
########################################
103
# Misc
104
#########################################
105
 
106
set_output_delay 5   -max { sdr_init_done } -clock sdram_clk
107
set_output_delay -2  -min { sdr_init_done } -clock sdram_clk

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.