OpenCores
URL https://opencores.org/ocsvn/sha256core/sha256core/trunk

Subversion Repositories sha256core

[/] [sha256core/] [trunk/] [rtl/] [dual_mem.vhd] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 entactogen
 
2
 
3
-- Copyright (c) 2013 Antonio de la Piedra
4
 
5
-- This program is free software: you can redistribute it and/or modify
6
-- it under the terms of the GNU General Public License as published by
7
-- the Free Software Foundation, either version 3 of the License, or
8
-- (at your option) any later version.
9
 
10
-- This program is distributed in the hope that it will be useful,
11
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
12
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
-- GNU General Public License for more details.
14
 
15
-- You should have received a copy of the GNU General Public License
16
-- along with this program.  If not, see <http://www.gnu.org/licenses/>.
17
 
18
library IEEE;
19
use IEEE.STD_LOGIC_1164.ALL;
20
use ieee.std_logic_unsigned.all;
21
 
22
entity dual_mem is
23
  generic (ADDR_LENGTH : integer := 6;
24
           DATA_LENGTH : integer := 32;
25
           N_ADDR      : integer := 64);
26
  port (clk  : in std_logic;
27
        we   : in std_logic;
28
        a    : in std_logic_vector(ADDR_LENGTH - 1 downto 0);
29
        dpra : in std_logic_vector(ADDR_LENGTH - 1 downto 0);
30
        di   : in std_logic_vector(DATA_LENGTH - 1 downto 0);
31
        spo  : out std_logic_vector(DATA_LENGTH - 1 downto 0);
32
        dpo  : out std_logic_vector(DATA_LENGTH - 1 downto 0));
33
end dual_mem;
34
 
35
architecture rtl of dual_mem is
36
  type ram_type is array (N_ADDR - 1  downto 0)
37
        of std_logic_vector (DATA_LENGTH - 1 downto 0);
38
  signal RAM : ram_type;
39
  signal read_a : std_logic_vector(ADDR_LENGTH - 1 downto 0);
40
  signal read_dpra : std_logic_vector(ADDR_LENGTH - 1 downto 0);
41
 
42
  attribute ram_style: string;
43
  attribute ram_style of RAM: signal is "block";
44
 
45
begin
46
  process (clk)
47
  begin
48
    if rising_edge(clk) then
49
      if (we = '1') then
50
        RAM(conv_integer(a)) <= di;
51
      end if;
52
      read_a <= a;
53
      read_dpra <= dpra;
54
    end if;
55
  end process;
56
 
57
  spo <= RAM(conv_integer(read_a));
58
  dpo <= RAM(conv_integer(read_dpra));
59
end rtl;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.