OpenCores
URL https://opencores.org/ocsvn/sha256core/sha256core/trunk

Subversion Repositories sha256core

[/] [sha256core/] [trunk/] [test/] [sha_256.do] - Blame information for rev 2

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 entactogen
 
2
vlib work
3
 
4
# libs
5
 
6
vcom -explicit  -93 "dual_mem.vhd"
7
vcom -explicit  -93 "sha_fun.vhd"
8
vcom -explicit  -93 "ff_bank.vhd"
9
vcom -explicit  -93 "sh_reg.vhd"
10
vcom -explicit  -93 "msg_comp.vhd"
11
vcom -explicit  -93 "sha_256.vhd"
12
vcom -explicit  -93 "tb_sha_256.vhd"
13
 
14
# Sim
15
 
16
vsim -lib work -t 1ps tb_sha_256
17
 
18
view wave
19
view source
20
view structure
21
view signals
22
add wave *
23
 
24
mem load -infile mem/k.mem -format hex tb_sha_256/uut/k_mem
25
 
26
add wave \
27
{sim:/tb_sha_256/uut/k_mem/* }
28
 
29
add wave \
30
{sim:/tb_sha_256/uut/state }
31
 
32
add wave \
33
{sim:/tb_sha_256/uut/message_compression/t_1 } \
34
{sim:/tb_sha_256/uut/message_compression/t_2 }
35
add wave \
36
{sim:/tb_sha_256/uut/message_compression/w_i } \
37
{sim:/tb_sha_256/uut/message_compression/k_i }
38
 
39
run 16 us
40
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.