OpenCores
URL https://opencores.org/ocsvn/smii/smii/trunk

Subversion Repositories smii

[/] [smii/] [trunk/] [rtl/] [verilog/] [smii_module_inst_3.v] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 unneback
wire         m1tx_clk;
2
wire [3:0]            m1txd;
3
wire         m1txen;
4
wire         m1txerr;
5
wire         m1rx_clk;
6
wire [3:0]            m1rxd;
7
wire         m1rxdv;
8
wire         m1rxerr;
9
wire         m1coll;
10
wire         m1crs;
11
wire         m2tx_clk;
12
wire [3:0]            m2txd;
13
wire         m2txen;
14
wire         m2txerr;
15
wire         m2rx_clk;
16
wire [3:0]            m2rxd;
17
wire         m2rxdv;
18
wire         m2rxerr;
19
wire         m2coll;
20
wire         m2crs;
21
wire         m3tx_clk;
22
wire [3:0]            m3txd;
23
wire         m3txen;
24
wire         m3txerr;
25
wire         m3rx_clk;
26
wire [3:0]            m3rxd;
27
wire         m3rxdv;
28
wire         m3rxerr;
29
wire         m3coll;
30
wire         m3crs;
31
wire [1:10]          state;
32
wire              sync;
33
wire [1:3]    rx, tx;
34
wire [1:3]    mdc_o, md_i, md_o, md_oe;
35
smii_sync smii_sync1
36
  (
37
   .sync(sync),
38
   .state(state),
39
   .clk(eth_clk),
40
   .rst(wb_rst)
41
   );
42
eth_top eth_top1
43
        (
44
         .wb_clk_i(wb_clk),
45
         .wb_rst_i(wb_rst),
46
         .wb_dat_i(wbs_eth1_cfg_dat_i),
47
         .wb_dat_o(wbs_eth1_cfg_dat_o),
48
         .wb_adr_i(wbs_eth1_cfg_adr_i[11:2]),
49
         .wb_sel_i(wbs_eth1_cfg_sel_i),
50
         .wb_we_i(wbs_eth1_cfg_we_i),
51
         .wb_cyc_i(wbs_eth1_cfg_cyc_i),
52
         .wb_stb_i(wbs_eth1_cfg_stb_i),
53
         .wb_ack_o(wbs_eth1_cfg_ack_o),
54
         .wb_err_o(wbs_eth1_cfg_err_o),
55
         .m_wb_adr_o(wbm_eth1_adr_o),
56
         .m_wb_sel_o(wbm_eth1_sel_o),
57
         .m_wb_we_o(wbm_eth1_we_o),
58
         .m_wb_dat_o(wbm_eth1_dat_o),
59
         .m_wb_dat_i(wbm_eth1_dat_i),
60
         .m_wb_cyc_o(wbm_eth1_cyc_o),
61
         .m_wb_stb_o(wbm_eth1_stb_o),
62
         .m_wb_ack_i(wbm_eth1_ack_i),
63
         .m_wb_err_i(wbm_eth1_err_i),
64
         .m_wb_cti_o(wbm_eth1_cti_o),
65
         .m_wb_bte_o(wbm_eth1_bte_o),
66
         .mtx_clk_pad_i(m1tx_clk),
67
         .mtxd_pad_o(m1txd),
68
         .mtxen_pad_o(m1txen),
69
         .mtxerr_pad_o(m1txerr),
70
         .mrx_clk_pad_i(m1rx_clk),
71
         .mrxd_pad_i(m1rxd),
72
         .mrxdv_pad_i(m1rxdv),
73
         .mrxerr_pad_i(m1rxerr),
74
         .mcoll_pad_i(m1coll),
75
         .mcrs_pad_i(m1crs),
76
         .mdc_pad_o(mdc_o[1]),
77
         .md_pad_i(md_i[1]),
78
         .md_pad_o(md_o[1]),
79
         .md_padoe_o(md_oe[1]),
80
         .int_o(eth_int[1])
81
         );
82
iobuftri iobuftri1
83
  (
84
   .i(md_o[1]),
85
   .oe(md_oe[1]),
86
   .o(md_i[1]),
87
   .pad(eth_md_pad_io[1])
88
   );
89
obuf obuf1
90
  (
91
   .i(mdc_o[1]),
92
   .pad(eth_mdc_pad_o[1])
93
   );
94
smii_txrx smii_txrx1
95
  (
96
   .tx(tx[1]),
97
   .rx(rx[1]),
98
   .mtx_clk(m1tx_clk),
99
   .mtxd(m1txd),
100
   .mtxen(m1txen),
101
   .mtxerr(m1txerr),
102
   .mrx_clk(m1rx_clk),
103
   .mrxd(m1rxd),
104
   .mrxdv(m1rxdv),
105
   .mrxerr(m1rxerr),
106
   .mcoll(m1coll),
107
   .mcrs(m1crs),
108
   .state(state),
109
   .clk(eth_clk),
110
   .rst(wb_rst)
111
   );
112 7 unneback
obufdff obufdff_sync1
113
  (
114
   .d(sync),
115
   .pad(eth_sync_pad_o[1]),
116
   .clk(eth_clk),
117
   .rst(wb_rst)
118
   );
119 3 unneback
obufdff obufdff_tx1
120
  (
121
   .d(tx[1]),
122
   .pad(eth_tx_pad_o[1]),
123
   .clk(eth_clk),
124
   .rst(wb_rst)
125
   );
126
ibufdff ibufdff_rx1
127
  (
128
   .pad(eth_rx_pad_i[1]),
129
   .q(rx[1]),
130
   .clk(eth_clk),
131
   .rst(wb_rst)
132
   );
133
eth_top eth_top2
134
        (
135
         .wb_clk_i(wb_clk),
136
         .wb_rst_i(wb_rst),
137
         .wb_dat_i(wbs_eth2_cfg_dat_i),
138
         .wb_dat_o(wbs_eth2_cfg_dat_o),
139
         .wb_adr_i(wbs_eth2_cfg_adr_i[11:2]),
140
         .wb_sel_i(wbs_eth2_cfg_sel_i),
141
         .wb_we_i(wbs_eth2_cfg_we_i),
142
         .wb_cyc_i(wbs_eth2_cfg_cyc_i),
143
         .wb_stb_i(wbs_eth2_cfg_stb_i),
144
         .wb_ack_o(wbs_eth2_cfg_ack_o),
145
         .wb_err_o(wbs_eth2_cfg_err_o),
146
         .m_wb_adr_o(wbm_eth2_adr_o),
147
         .m_wb_sel_o(wbm_eth2_sel_o),
148
         .m_wb_we_o(wbm_eth2_we_o),
149
         .m_wb_dat_o(wbm_eth2_dat_o),
150
         .m_wb_dat_i(wbm_eth2_dat_i),
151
         .m_wb_cyc_o(wbm_eth2_cyc_o),
152
         .m_wb_stb_o(wbm_eth2_stb_o),
153
         .m_wb_ack_i(wbm_eth2_ack_i),
154
         .m_wb_err_i(wbm_eth2_err_i),
155
         .m_wb_cti_o(wbm_eth2_cti_o),
156
         .m_wb_bte_o(wbm_eth2_bte_o),
157
         .mtx_clk_pad_i(m2tx_clk),
158
         .mtxd_pad_o(m2txd),
159
         .mtxen_pad_o(m2txen),
160
         .mtxerr_pad_o(m2txerr),
161
         .mrx_clk_pad_i(m2rx_clk),
162
         .mrxd_pad_i(m2rxd),
163
         .mrxdv_pad_i(m2rxdv),
164
         .mrxerr_pad_i(m2rxerr),
165
         .mcoll_pad_i(m2coll),
166
         .mcrs_pad_i(m2crs),
167
         .mdc_pad_o(mdc_o[2]),
168
         .md_pad_i(md_i[2]),
169
         .md_pad_o(md_o[2]),
170
         .md_padoe_o(md_oe[2]),
171
         .int_o(eth_int[2])
172
         );
173
iobuftri iobuftri2
174
  (
175
   .i(md_o[2]),
176
   .oe(md_oe[2]),
177
   .o(md_i[2]),
178
   .pad(eth_md_pad_io[2])
179
   );
180
obuf obuf2
181
  (
182
   .i(mdc_o[2]),
183
   .pad(eth_mdc_pad_o[2])
184
   );
185
smii_txrx smii_txrx2
186
  (
187
   .tx(tx[2]),
188
   .rx(rx[2]),
189
   .mtx_clk(m2tx_clk),
190
   .mtxd(m2txd),
191
   .mtxen(m2txen),
192
   .mtxerr(m2txerr),
193
   .mrx_clk(m2rx_clk),
194
   .mrxd(m2rxd),
195
   .mrxdv(m2rxdv),
196
   .mrxerr(m2rxerr),
197
   .mcoll(m2coll),
198
   .mcrs(m2crs),
199
   .state(state),
200
   .clk(eth_clk),
201
   .rst(wb_rst)
202
   );
203 7 unneback
obufdff obufdff_sync2
204
  (
205
   .d(sync),
206
   .pad(eth_sync_pad_o[2]),
207
   .clk(eth_clk),
208
   .rst(wb_rst)
209
   );
210 3 unneback
obufdff obufdff_tx2
211
  (
212
   .d(tx[2]),
213
   .pad(eth_tx_pad_o[2]),
214
   .clk(eth_clk),
215
   .rst(wb_rst)
216
   );
217
ibufdff ibufdff_rx2
218
  (
219
   .pad(eth_rx_pad_i[2]),
220
   .q(rx[2]),
221
   .clk(eth_clk),
222
   .rst(wb_rst)
223
   );
224
eth_top eth_top3
225
        (
226
         .wb_clk_i(wb_clk),
227
         .wb_rst_i(wb_rst),
228
         .wb_dat_i(wbs_eth3_cfg_dat_i),
229
         .wb_dat_o(wbs_eth3_cfg_dat_o),
230
         .wb_adr_i(wbs_eth3_cfg_adr_i[11:2]),
231
         .wb_sel_i(wbs_eth3_cfg_sel_i),
232
         .wb_we_i(wbs_eth3_cfg_we_i),
233
         .wb_cyc_i(wbs_eth3_cfg_cyc_i),
234
         .wb_stb_i(wbs_eth3_cfg_stb_i),
235
         .wb_ack_o(wbs_eth3_cfg_ack_o),
236
         .wb_err_o(wbs_eth3_cfg_err_o),
237
         .m_wb_adr_o(wbm_eth3_adr_o),
238
         .m_wb_sel_o(wbm_eth3_sel_o),
239
         .m_wb_we_o(wbm_eth3_we_o),
240
         .m_wb_dat_o(wbm_eth3_dat_o),
241
         .m_wb_dat_i(wbm_eth3_dat_i),
242
         .m_wb_cyc_o(wbm_eth3_cyc_o),
243
         .m_wb_stb_o(wbm_eth3_stb_o),
244
         .m_wb_ack_i(wbm_eth3_ack_i),
245
         .m_wb_err_i(wbm_eth3_err_i),
246
         .m_wb_cti_o(wbm_eth3_cti_o),
247
         .m_wb_bte_o(wbm_eth3_bte_o),
248
         .mtx_clk_pad_i(m3tx_clk),
249
         .mtxd_pad_o(m3txd),
250
         .mtxen_pad_o(m3txen),
251
         .mtxerr_pad_o(m3txerr),
252
         .mrx_clk_pad_i(m3rx_clk),
253
         .mrxd_pad_i(m3rxd),
254
         .mrxdv_pad_i(m3rxdv),
255
         .mrxerr_pad_i(m3rxerr),
256
         .mcoll_pad_i(m3coll),
257
         .mcrs_pad_i(m3crs),
258
         .mdc_pad_o(mdc_o[3]),
259
         .md_pad_i(md_i[3]),
260
         .md_pad_o(md_o[3]),
261
         .md_padoe_o(md_oe[3]),
262
         .int_o(eth_int[3])
263
         );
264
iobuftri iobuftri3
265
  (
266
   .i(md_o[3]),
267
   .oe(md_oe[3]),
268
   .o(md_i[3]),
269
   .pad(eth_md_pad_io[3])
270
   );
271
obuf obuf3
272
  (
273
   .i(mdc_o[3]),
274
   .pad(eth_mdc_pad_o[3])
275
   );
276
smii_txrx smii_txrx3
277
  (
278
   .tx(tx[3]),
279
   .rx(rx[3]),
280
   .mtx_clk(m3tx_clk),
281
   .mtxd(m3txd),
282
   .mtxen(m3txen),
283
   .mtxerr(m3txerr),
284
   .mrx_clk(m3rx_clk),
285
   .mrxd(m3rxd),
286
   .mrxdv(m3rxdv),
287
   .mrxerr(m3rxerr),
288
   .mcoll(m3coll),
289
   .mcrs(m3crs),
290
   .state(state),
291
   .clk(eth_clk),
292
   .rst(wb_rst)
293
   );
294 7 unneback
obufdff obufdff_sync3
295
  (
296
   .d(sync),
297
   .pad(eth_sync_pad_o[3]),
298
   .clk(eth_clk),
299
   .rst(wb_rst)
300
   );
301 3 unneback
obufdff obufdff_tx3
302
  (
303
   .d(tx[3]),
304
   .pad(eth_tx_pad_o[3]),
305
   .clk(eth_clk),
306
   .rst(wb_rst)
307
   );
308
ibufdff ibufdff_rx3
309
  (
310
   .pad(eth_rx_pad_i[3]),
311
   .q(rx[3]),
312
   .clk(eth_clk),
313
   .rst(wb_rst)
314
   );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.