OpenCores
URL https://opencores.org/ocsvn/smii/smii/trunk

Subversion Repositories smii

[/] [smii/] [trunk/] [rtl/] [verilog/] [smii_module_inst_4.v] - Blame information for rev 3

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 unneback
wire         m1tx_clk;
2
wire [3:0]            m1txd;
3
wire         m1txen;
4
wire         m1txerr;
5
wire         m1rx_clk;
6
wire [3:0]            m1rxd;
7
wire         m1rxdv;
8
wire         m1rxerr;
9
wire         m1coll;
10
wire         m1crs;
11
wire         m2tx_clk;
12
wire [3:0]            m2txd;
13
wire         m2txen;
14
wire         m2txerr;
15
wire         m2rx_clk;
16
wire [3:0]            m2rxd;
17
wire         m2rxdv;
18
wire         m2rxerr;
19
wire         m2coll;
20
wire         m2crs;
21
wire         m3tx_clk;
22
wire [3:0]            m3txd;
23
wire         m3txen;
24
wire         m3txerr;
25
wire         m3rx_clk;
26
wire [3:0]            m3rxd;
27
wire         m3rxdv;
28
wire         m3rxerr;
29
wire         m3coll;
30
wire         m3crs;
31
wire         m4tx_clk;
32
wire [3:0]            m4txd;
33
wire         m4txen;
34
wire         m4txerr;
35
wire         m4rx_clk;
36
wire [3:0]            m4rxd;
37
wire         m4rxdv;
38
wire         m4rxerr;
39
wire         m4coll;
40
wire         m4crs;
41
wire [1:10]          state;
42
wire              sync;
43
wire [1:4]    rx, tx;
44
wire [1:4]    mdc_o, md_i, md_o, md_oe;
45
smii_sync smii_sync1
46
  (
47
   .sync(sync),
48
   .state(state),
49
   .clk(eth_clk),
50
   .rst(wb_rst)
51
   );
52
obufdff obufdff_sync5
53
  (
54
   .d(sync),
55
   .pad(sync_pad_o[5]),
56
   .clk(eth_clk),
57
   .rst(wb_rst)
58
   );
59
eth_top eth_top1
60
        (
61
         .wb_clk_i(wb_clk),
62
         .wb_rst_i(wb_rst),
63
         .wb_dat_i(wbs_eth1_cfg_dat_i),
64
         .wb_dat_o(wbs_eth1_cfg_dat_o),
65
         .wb_adr_i(wbs_eth1_cfg_adr_i[11:2]),
66
         .wb_sel_i(wbs_eth1_cfg_sel_i),
67
         .wb_we_i(wbs_eth1_cfg_we_i),
68
         .wb_cyc_i(wbs_eth1_cfg_cyc_i),
69
         .wb_stb_i(wbs_eth1_cfg_stb_i),
70
         .wb_ack_o(wbs_eth1_cfg_ack_o),
71
         .wb_err_o(wbs_eth1_cfg_err_o),
72
         .m_wb_adr_o(wbm_eth1_adr_o),
73
         .m_wb_sel_o(wbm_eth1_sel_o),
74
         .m_wb_we_o(wbm_eth1_we_o),
75
         .m_wb_dat_o(wbm_eth1_dat_o),
76
         .m_wb_dat_i(wbm_eth1_dat_i),
77
         .m_wb_cyc_o(wbm_eth1_cyc_o),
78
         .m_wb_stb_o(wbm_eth1_stb_o),
79
         .m_wb_ack_i(wbm_eth1_ack_i),
80
         .m_wb_err_i(wbm_eth1_err_i),
81
         .m_wb_cti_o(wbm_eth1_cti_o),
82
         .m_wb_bte_o(wbm_eth1_bte_o),
83
         .mtx_clk_pad_i(m1tx_clk),
84
         .mtxd_pad_o(m1txd),
85
         .mtxen_pad_o(m1txen),
86
         .mtxerr_pad_o(m1txerr),
87
         .mrx_clk_pad_i(m1rx_clk),
88
         .mrxd_pad_i(m1rxd),
89
         .mrxdv_pad_i(m1rxdv),
90
         .mrxerr_pad_i(m1rxerr),
91
         .mcoll_pad_i(m1coll),
92
         .mcrs_pad_i(m1crs),
93
         .mdc_pad_o(mdc_o[1]),
94
         .md_pad_i(md_i[1]),
95
         .md_pad_o(md_o[1]),
96
         .md_padoe_o(md_oe[1]),
97
         .int_o(eth_int[1])
98
         );
99
iobuftri iobuftri1
100
  (
101
   .i(md_o[1]),
102
   .oe(md_oe[1]),
103
   .o(md_i[1]),
104
   .pad(eth_md_pad_io[1])
105
   );
106
obuf obuf1
107
  (
108
   .i(mdc_o[1]),
109
   .pad(eth_mdc_pad_o[1])
110
   );
111
smii_txrx smii_txrx1
112
  (
113
   .tx(tx[1]),
114
   .rx(rx[1]),
115
   .mtx_clk(m1tx_clk),
116
   .mtxd(m1txd),
117
   .mtxen(m1txen),
118
   .mtxerr(m1txerr),
119
   .mrx_clk(m1rx_clk),
120
   .mrxd(m1rxd),
121
   .mrxdv(m1rxdv),
122
   .mrxerr(m1rxerr),
123
   .mcoll(m1coll),
124
   .mcrs(m1crs),
125
   .state(state),
126
   .clk(eth_clk),
127
   .rst(wb_rst)
128
   );
129
obufdff obufdff_tx1
130
  (
131
   .d(tx[1]),
132
   .pad(eth_tx_pad_o[1]),
133
   .clk(eth_clk),
134
   .rst(wb_rst)
135
   );
136
ibufdff ibufdff_rx1
137
  (
138
   .pad(eth_rx_pad_i[1]),
139
   .q(rx[1]),
140
   .clk(eth_clk),
141
   .rst(wb_rst)
142
   );
143
eth_top eth_top2
144
        (
145
         .wb_clk_i(wb_clk),
146
         .wb_rst_i(wb_rst),
147
         .wb_dat_i(wbs_eth2_cfg_dat_i),
148
         .wb_dat_o(wbs_eth2_cfg_dat_o),
149
         .wb_adr_i(wbs_eth2_cfg_adr_i[11:2]),
150
         .wb_sel_i(wbs_eth2_cfg_sel_i),
151
         .wb_we_i(wbs_eth2_cfg_we_i),
152
         .wb_cyc_i(wbs_eth2_cfg_cyc_i),
153
         .wb_stb_i(wbs_eth2_cfg_stb_i),
154
         .wb_ack_o(wbs_eth2_cfg_ack_o),
155
         .wb_err_o(wbs_eth2_cfg_err_o),
156
         .m_wb_adr_o(wbm_eth2_adr_o),
157
         .m_wb_sel_o(wbm_eth2_sel_o),
158
         .m_wb_we_o(wbm_eth2_we_o),
159
         .m_wb_dat_o(wbm_eth2_dat_o),
160
         .m_wb_dat_i(wbm_eth2_dat_i),
161
         .m_wb_cyc_o(wbm_eth2_cyc_o),
162
         .m_wb_stb_o(wbm_eth2_stb_o),
163
         .m_wb_ack_i(wbm_eth2_ack_i),
164
         .m_wb_err_i(wbm_eth2_err_i),
165
         .m_wb_cti_o(wbm_eth2_cti_o),
166
         .m_wb_bte_o(wbm_eth2_bte_o),
167
         .mtx_clk_pad_i(m2tx_clk),
168
         .mtxd_pad_o(m2txd),
169
         .mtxen_pad_o(m2txen),
170
         .mtxerr_pad_o(m2txerr),
171
         .mrx_clk_pad_i(m2rx_clk),
172
         .mrxd_pad_i(m2rxd),
173
         .mrxdv_pad_i(m2rxdv),
174
         .mrxerr_pad_i(m2rxerr),
175
         .mcoll_pad_i(m2coll),
176
         .mcrs_pad_i(m2crs),
177
         .mdc_pad_o(mdc_o[2]),
178
         .md_pad_i(md_i[2]),
179
         .md_pad_o(md_o[2]),
180
         .md_padoe_o(md_oe[2]),
181
         .int_o(eth_int[2])
182
         );
183
iobuftri iobuftri2
184
  (
185
   .i(md_o[2]),
186
   .oe(md_oe[2]),
187
   .o(md_i[2]),
188
   .pad(eth_md_pad_io[2])
189
   );
190
obuf obuf2
191
  (
192
   .i(mdc_o[2]),
193
   .pad(eth_mdc_pad_o[2])
194
   );
195
smii_txrx smii_txrx2
196
  (
197
   .tx(tx[2]),
198
   .rx(rx[2]),
199
   .mtx_clk(m2tx_clk),
200
   .mtxd(m2txd),
201
   .mtxen(m2txen),
202
   .mtxerr(m2txerr),
203
   .mrx_clk(m2rx_clk),
204
   .mrxd(m2rxd),
205
   .mrxdv(m2rxdv),
206
   .mrxerr(m2rxerr),
207
   .mcoll(m2coll),
208
   .mcrs(m2crs),
209
   .state(state),
210
   .clk(eth_clk),
211
   .rst(wb_rst)
212
   );
213
obufdff obufdff_tx2
214
  (
215
   .d(tx[2]),
216
   .pad(eth_tx_pad_o[2]),
217
   .clk(eth_clk),
218
   .rst(wb_rst)
219
   );
220
ibufdff ibufdff_rx2
221
  (
222
   .pad(eth_rx_pad_i[2]),
223
   .q(rx[2]),
224
   .clk(eth_clk),
225
   .rst(wb_rst)
226
   );
227
eth_top eth_top3
228
        (
229
         .wb_clk_i(wb_clk),
230
         .wb_rst_i(wb_rst),
231
         .wb_dat_i(wbs_eth3_cfg_dat_i),
232
         .wb_dat_o(wbs_eth3_cfg_dat_o),
233
         .wb_adr_i(wbs_eth3_cfg_adr_i[11:2]),
234
         .wb_sel_i(wbs_eth3_cfg_sel_i),
235
         .wb_we_i(wbs_eth3_cfg_we_i),
236
         .wb_cyc_i(wbs_eth3_cfg_cyc_i),
237
         .wb_stb_i(wbs_eth3_cfg_stb_i),
238
         .wb_ack_o(wbs_eth3_cfg_ack_o),
239
         .wb_err_o(wbs_eth3_cfg_err_o),
240
         .m_wb_adr_o(wbm_eth3_adr_o),
241
         .m_wb_sel_o(wbm_eth3_sel_o),
242
         .m_wb_we_o(wbm_eth3_we_o),
243
         .m_wb_dat_o(wbm_eth3_dat_o),
244
         .m_wb_dat_i(wbm_eth3_dat_i),
245
         .m_wb_cyc_o(wbm_eth3_cyc_o),
246
         .m_wb_stb_o(wbm_eth3_stb_o),
247
         .m_wb_ack_i(wbm_eth3_ack_i),
248
         .m_wb_err_i(wbm_eth3_err_i),
249
         .m_wb_cti_o(wbm_eth3_cti_o),
250
         .m_wb_bte_o(wbm_eth3_bte_o),
251
         .mtx_clk_pad_i(m3tx_clk),
252
         .mtxd_pad_o(m3txd),
253
         .mtxen_pad_o(m3txen),
254
         .mtxerr_pad_o(m3txerr),
255
         .mrx_clk_pad_i(m3rx_clk),
256
         .mrxd_pad_i(m3rxd),
257
         .mrxdv_pad_i(m3rxdv),
258
         .mrxerr_pad_i(m3rxerr),
259
         .mcoll_pad_i(m3coll),
260
         .mcrs_pad_i(m3crs),
261
         .mdc_pad_o(mdc_o[3]),
262
         .md_pad_i(md_i[3]),
263
         .md_pad_o(md_o[3]),
264
         .md_padoe_o(md_oe[3]),
265
         .int_o(eth_int[3])
266
         );
267
iobuftri iobuftri3
268
  (
269
   .i(md_o[3]),
270
   .oe(md_oe[3]),
271
   .o(md_i[3]),
272
   .pad(eth_md_pad_io[3])
273
   );
274
obuf obuf3
275
  (
276
   .i(mdc_o[3]),
277
   .pad(eth_mdc_pad_o[3])
278
   );
279
smii_txrx smii_txrx3
280
  (
281
   .tx(tx[3]),
282
   .rx(rx[3]),
283
   .mtx_clk(m3tx_clk),
284
   .mtxd(m3txd),
285
   .mtxen(m3txen),
286
   .mtxerr(m3txerr),
287
   .mrx_clk(m3rx_clk),
288
   .mrxd(m3rxd),
289
   .mrxdv(m3rxdv),
290
   .mrxerr(m3rxerr),
291
   .mcoll(m3coll),
292
   .mcrs(m3crs),
293
   .state(state),
294
   .clk(eth_clk),
295
   .rst(wb_rst)
296
   );
297
obufdff obufdff_tx3
298
  (
299
   .d(tx[3]),
300
   .pad(eth_tx_pad_o[3]),
301
   .clk(eth_clk),
302
   .rst(wb_rst)
303
   );
304
ibufdff ibufdff_rx3
305
  (
306
   .pad(eth_rx_pad_i[3]),
307
   .q(rx[3]),
308
   .clk(eth_clk),
309
   .rst(wb_rst)
310
   );
311
eth_top eth_top4
312
        (
313
         .wb_clk_i(wb_clk),
314
         .wb_rst_i(wb_rst),
315
         .wb_dat_i(wbs_eth4_cfg_dat_i),
316
         .wb_dat_o(wbs_eth4_cfg_dat_o),
317
         .wb_adr_i(wbs_eth4_cfg_adr_i[11:2]),
318
         .wb_sel_i(wbs_eth4_cfg_sel_i),
319
         .wb_we_i(wbs_eth4_cfg_we_i),
320
         .wb_cyc_i(wbs_eth4_cfg_cyc_i),
321
         .wb_stb_i(wbs_eth4_cfg_stb_i),
322
         .wb_ack_o(wbs_eth4_cfg_ack_o),
323
         .wb_err_o(wbs_eth4_cfg_err_o),
324
         .m_wb_adr_o(wbm_eth4_adr_o),
325
         .m_wb_sel_o(wbm_eth4_sel_o),
326
         .m_wb_we_o(wbm_eth4_we_o),
327
         .m_wb_dat_o(wbm_eth4_dat_o),
328
         .m_wb_dat_i(wbm_eth4_dat_i),
329
         .m_wb_cyc_o(wbm_eth4_cyc_o),
330
         .m_wb_stb_o(wbm_eth4_stb_o),
331
         .m_wb_ack_i(wbm_eth4_ack_i),
332
         .m_wb_err_i(wbm_eth4_err_i),
333
         .m_wb_cti_o(wbm_eth4_cti_o),
334
         .m_wb_bte_o(wbm_eth4_bte_o),
335
         .mtx_clk_pad_i(m4tx_clk),
336
         .mtxd_pad_o(m4txd),
337
         .mtxen_pad_o(m4txen),
338
         .mtxerr_pad_o(m4txerr),
339
         .mrx_clk_pad_i(m4rx_clk),
340
         .mrxd_pad_i(m4rxd),
341
         .mrxdv_pad_i(m4rxdv),
342
         .mrxerr_pad_i(m4rxerr),
343
         .mcoll_pad_i(m4coll),
344
         .mcrs_pad_i(m4crs),
345
         .mdc_pad_o(mdc_o[4]),
346
         .md_pad_i(md_i[4]),
347
         .md_pad_o(md_o[4]),
348
         .md_padoe_o(md_oe[4]),
349
         .int_o(eth_int[4])
350
         );
351
iobuftri iobuftri4
352
  (
353
   .i(md_o[4]),
354
   .oe(md_oe[4]),
355
   .o(md_i[4]),
356
   .pad(eth_md_pad_io[4])
357
   );
358
obuf obuf4
359
  (
360
   .i(mdc_o[4]),
361
   .pad(eth_mdc_pad_o[4])
362
   );
363
smii_txrx smii_txrx4
364
  (
365
   .tx(tx[4]),
366
   .rx(rx[4]),
367
   .mtx_clk(m4tx_clk),
368
   .mtxd(m4txd),
369
   .mtxen(m4txen),
370
   .mtxerr(m4txerr),
371
   .mrx_clk(m4rx_clk),
372
   .mrxd(m4rxd),
373
   .mrxdv(m4rxdv),
374
   .mrxerr(m4rxerr),
375
   .mcoll(m4coll),
376
   .mcrs(m4crs),
377
   .state(state),
378
   .clk(eth_clk),
379
   .rst(wb_rst)
380
   );
381
obufdff obufdff_tx4
382
  (
383
   .d(tx[4]),
384
   .pad(eth_tx_pad_o[4]),
385
   .clk(eth_clk),
386
   .rst(wb_rst)
387
   );
388
ibufdff ibufdff_rx4
389
  (
390
   .pad(eth_rx_pad_i[4]),
391
   .q(rx[4]),
392
   .clk(eth_clk),
393
   .rst(wb_rst)
394
   );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.