OpenCores
URL https://opencores.org/ocsvn/smii/smii/trunk

Subversion Repositories smii

[/] [smii/] [trunk/] [rtl/] [verilog/] [smii_module_inst_4.v] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 unneback
wire         m1tx_clk;
2
wire [3:0]            m1txd;
3
wire         m1txen;
4
wire         m1txerr;
5
wire         m1rx_clk;
6
wire [3:0]            m1rxd;
7
wire         m1rxdv;
8
wire         m1rxerr;
9
wire         m1coll;
10
wire         m1crs;
11
wire         m2tx_clk;
12
wire [3:0]            m2txd;
13
wire         m2txen;
14
wire         m2txerr;
15
wire         m2rx_clk;
16
wire [3:0]            m2rxd;
17
wire         m2rxdv;
18
wire         m2rxerr;
19
wire         m2coll;
20
wire         m2crs;
21
wire         m3tx_clk;
22
wire [3:0]            m3txd;
23
wire         m3txen;
24
wire         m3txerr;
25
wire         m3rx_clk;
26
wire [3:0]            m3rxd;
27
wire         m3rxdv;
28
wire         m3rxerr;
29
wire         m3coll;
30
wire         m3crs;
31
wire         m4tx_clk;
32
wire [3:0]            m4txd;
33
wire         m4txen;
34
wire         m4txerr;
35
wire         m4rx_clk;
36
wire [3:0]            m4rxd;
37
wire         m4rxdv;
38
wire         m4rxerr;
39
wire         m4coll;
40
wire         m4crs;
41
wire [1:10]          state;
42
wire              sync;
43
wire [1:4]    rx, tx;
44
wire [1:4]    mdc_o, md_i, md_o, md_oe;
45
smii_sync smii_sync1
46
  (
47
   .sync(sync),
48
   .state(state),
49
   .clk(eth_clk),
50
   .rst(wb_rst)
51
   );
52
eth_top eth_top1
53
        (
54
         .wb_clk_i(wb_clk),
55
         .wb_rst_i(wb_rst),
56
         .wb_dat_i(wbs_eth1_cfg_dat_i),
57
         .wb_dat_o(wbs_eth1_cfg_dat_o),
58
         .wb_adr_i(wbs_eth1_cfg_adr_i[11:2]),
59
         .wb_sel_i(wbs_eth1_cfg_sel_i),
60
         .wb_we_i(wbs_eth1_cfg_we_i),
61
         .wb_cyc_i(wbs_eth1_cfg_cyc_i),
62
         .wb_stb_i(wbs_eth1_cfg_stb_i),
63
         .wb_ack_o(wbs_eth1_cfg_ack_o),
64
         .wb_err_o(wbs_eth1_cfg_err_o),
65
         .m_wb_adr_o(wbm_eth1_adr_o),
66
         .m_wb_sel_o(wbm_eth1_sel_o),
67
         .m_wb_we_o(wbm_eth1_we_o),
68
         .m_wb_dat_o(wbm_eth1_dat_o),
69
         .m_wb_dat_i(wbm_eth1_dat_i),
70
         .m_wb_cyc_o(wbm_eth1_cyc_o),
71
         .m_wb_stb_o(wbm_eth1_stb_o),
72
         .m_wb_ack_i(wbm_eth1_ack_i),
73
         .m_wb_err_i(wbm_eth1_err_i),
74
         .m_wb_cti_o(wbm_eth1_cti_o),
75
         .m_wb_bte_o(wbm_eth1_bte_o),
76
         .mtx_clk_pad_i(m1tx_clk),
77
         .mtxd_pad_o(m1txd),
78
         .mtxen_pad_o(m1txen),
79
         .mtxerr_pad_o(m1txerr),
80
         .mrx_clk_pad_i(m1rx_clk),
81
         .mrxd_pad_i(m1rxd),
82
         .mrxdv_pad_i(m1rxdv),
83
         .mrxerr_pad_i(m1rxerr),
84
         .mcoll_pad_i(m1coll),
85
         .mcrs_pad_i(m1crs),
86
         .mdc_pad_o(mdc_o[1]),
87
         .md_pad_i(md_i[1]),
88
         .md_pad_o(md_o[1]),
89
         .md_padoe_o(md_oe[1]),
90
         .int_o(eth_int[1])
91
         );
92
iobuftri iobuftri1
93
  (
94
   .i(md_o[1]),
95
   .oe(md_oe[1]),
96
   .o(md_i[1]),
97
   .pad(eth_md_pad_io[1])
98
   );
99
obuf obuf1
100
  (
101
   .i(mdc_o[1]),
102
   .pad(eth_mdc_pad_o[1])
103
   );
104
smii_txrx smii_txrx1
105
  (
106
   .tx(tx[1]),
107
   .rx(rx[1]),
108
   .mtx_clk(m1tx_clk),
109
   .mtxd(m1txd),
110
   .mtxen(m1txen),
111
   .mtxerr(m1txerr),
112
   .mrx_clk(m1rx_clk),
113
   .mrxd(m1rxd),
114
   .mrxdv(m1rxdv),
115
   .mrxerr(m1rxerr),
116
   .mcoll(m1coll),
117
   .mcrs(m1crs),
118
   .state(state),
119
   .clk(eth_clk),
120
   .rst(wb_rst)
121
   );
122 7 unneback
obufdff obufdff_sync1
123
  (
124
   .d(sync),
125
   .pad(eth_sync_pad_o[1]),
126
   .clk(eth_clk),
127
   .rst(wb_rst)
128
   );
129 3 unneback
obufdff obufdff_tx1
130
  (
131
   .d(tx[1]),
132
   .pad(eth_tx_pad_o[1]),
133
   .clk(eth_clk),
134
   .rst(wb_rst)
135
   );
136
ibufdff ibufdff_rx1
137
  (
138
   .pad(eth_rx_pad_i[1]),
139
   .q(rx[1]),
140
   .clk(eth_clk),
141
   .rst(wb_rst)
142
   );
143
eth_top eth_top2
144
        (
145
         .wb_clk_i(wb_clk),
146
         .wb_rst_i(wb_rst),
147
         .wb_dat_i(wbs_eth2_cfg_dat_i),
148
         .wb_dat_o(wbs_eth2_cfg_dat_o),
149
         .wb_adr_i(wbs_eth2_cfg_adr_i[11:2]),
150
         .wb_sel_i(wbs_eth2_cfg_sel_i),
151
         .wb_we_i(wbs_eth2_cfg_we_i),
152
         .wb_cyc_i(wbs_eth2_cfg_cyc_i),
153
         .wb_stb_i(wbs_eth2_cfg_stb_i),
154
         .wb_ack_o(wbs_eth2_cfg_ack_o),
155
         .wb_err_o(wbs_eth2_cfg_err_o),
156
         .m_wb_adr_o(wbm_eth2_adr_o),
157
         .m_wb_sel_o(wbm_eth2_sel_o),
158
         .m_wb_we_o(wbm_eth2_we_o),
159
         .m_wb_dat_o(wbm_eth2_dat_o),
160
         .m_wb_dat_i(wbm_eth2_dat_i),
161
         .m_wb_cyc_o(wbm_eth2_cyc_o),
162
         .m_wb_stb_o(wbm_eth2_stb_o),
163
         .m_wb_ack_i(wbm_eth2_ack_i),
164
         .m_wb_err_i(wbm_eth2_err_i),
165
         .m_wb_cti_o(wbm_eth2_cti_o),
166
         .m_wb_bte_o(wbm_eth2_bte_o),
167
         .mtx_clk_pad_i(m2tx_clk),
168
         .mtxd_pad_o(m2txd),
169
         .mtxen_pad_o(m2txen),
170
         .mtxerr_pad_o(m2txerr),
171
         .mrx_clk_pad_i(m2rx_clk),
172
         .mrxd_pad_i(m2rxd),
173
         .mrxdv_pad_i(m2rxdv),
174
         .mrxerr_pad_i(m2rxerr),
175
         .mcoll_pad_i(m2coll),
176
         .mcrs_pad_i(m2crs),
177
         .mdc_pad_o(mdc_o[2]),
178
         .md_pad_i(md_i[2]),
179
         .md_pad_o(md_o[2]),
180
         .md_padoe_o(md_oe[2]),
181
         .int_o(eth_int[2])
182
         );
183
iobuftri iobuftri2
184
  (
185
   .i(md_o[2]),
186
   .oe(md_oe[2]),
187
   .o(md_i[2]),
188
   .pad(eth_md_pad_io[2])
189
   );
190
obuf obuf2
191
  (
192
   .i(mdc_o[2]),
193
   .pad(eth_mdc_pad_o[2])
194
   );
195
smii_txrx smii_txrx2
196
  (
197
   .tx(tx[2]),
198
   .rx(rx[2]),
199
   .mtx_clk(m2tx_clk),
200
   .mtxd(m2txd),
201
   .mtxen(m2txen),
202
   .mtxerr(m2txerr),
203
   .mrx_clk(m2rx_clk),
204
   .mrxd(m2rxd),
205
   .mrxdv(m2rxdv),
206
   .mrxerr(m2rxerr),
207
   .mcoll(m2coll),
208
   .mcrs(m2crs),
209
   .state(state),
210
   .clk(eth_clk),
211
   .rst(wb_rst)
212
   );
213 7 unneback
obufdff obufdff_sync2
214
  (
215
   .d(sync),
216
   .pad(eth_sync_pad_o[2]),
217
   .clk(eth_clk),
218
   .rst(wb_rst)
219
   );
220 3 unneback
obufdff obufdff_tx2
221
  (
222
   .d(tx[2]),
223
   .pad(eth_tx_pad_o[2]),
224
   .clk(eth_clk),
225
   .rst(wb_rst)
226
   );
227
ibufdff ibufdff_rx2
228
  (
229
   .pad(eth_rx_pad_i[2]),
230
   .q(rx[2]),
231
   .clk(eth_clk),
232
   .rst(wb_rst)
233
   );
234
eth_top eth_top3
235
        (
236
         .wb_clk_i(wb_clk),
237
         .wb_rst_i(wb_rst),
238
         .wb_dat_i(wbs_eth3_cfg_dat_i),
239
         .wb_dat_o(wbs_eth3_cfg_dat_o),
240
         .wb_adr_i(wbs_eth3_cfg_adr_i[11:2]),
241
         .wb_sel_i(wbs_eth3_cfg_sel_i),
242
         .wb_we_i(wbs_eth3_cfg_we_i),
243
         .wb_cyc_i(wbs_eth3_cfg_cyc_i),
244
         .wb_stb_i(wbs_eth3_cfg_stb_i),
245
         .wb_ack_o(wbs_eth3_cfg_ack_o),
246
         .wb_err_o(wbs_eth3_cfg_err_o),
247
         .m_wb_adr_o(wbm_eth3_adr_o),
248
         .m_wb_sel_o(wbm_eth3_sel_o),
249
         .m_wb_we_o(wbm_eth3_we_o),
250
         .m_wb_dat_o(wbm_eth3_dat_o),
251
         .m_wb_dat_i(wbm_eth3_dat_i),
252
         .m_wb_cyc_o(wbm_eth3_cyc_o),
253
         .m_wb_stb_o(wbm_eth3_stb_o),
254
         .m_wb_ack_i(wbm_eth3_ack_i),
255
         .m_wb_err_i(wbm_eth3_err_i),
256
         .m_wb_cti_o(wbm_eth3_cti_o),
257
         .m_wb_bte_o(wbm_eth3_bte_o),
258
         .mtx_clk_pad_i(m3tx_clk),
259
         .mtxd_pad_o(m3txd),
260
         .mtxen_pad_o(m3txen),
261
         .mtxerr_pad_o(m3txerr),
262
         .mrx_clk_pad_i(m3rx_clk),
263
         .mrxd_pad_i(m3rxd),
264
         .mrxdv_pad_i(m3rxdv),
265
         .mrxerr_pad_i(m3rxerr),
266
         .mcoll_pad_i(m3coll),
267
         .mcrs_pad_i(m3crs),
268
         .mdc_pad_o(mdc_o[3]),
269
         .md_pad_i(md_i[3]),
270
         .md_pad_o(md_o[3]),
271
         .md_padoe_o(md_oe[3]),
272
         .int_o(eth_int[3])
273
         );
274
iobuftri iobuftri3
275
  (
276
   .i(md_o[3]),
277
   .oe(md_oe[3]),
278
   .o(md_i[3]),
279
   .pad(eth_md_pad_io[3])
280
   );
281
obuf obuf3
282
  (
283
   .i(mdc_o[3]),
284
   .pad(eth_mdc_pad_o[3])
285
   );
286
smii_txrx smii_txrx3
287
  (
288
   .tx(tx[3]),
289
   .rx(rx[3]),
290
   .mtx_clk(m3tx_clk),
291
   .mtxd(m3txd),
292
   .mtxen(m3txen),
293
   .mtxerr(m3txerr),
294
   .mrx_clk(m3rx_clk),
295
   .mrxd(m3rxd),
296
   .mrxdv(m3rxdv),
297
   .mrxerr(m3rxerr),
298
   .mcoll(m3coll),
299
   .mcrs(m3crs),
300
   .state(state),
301
   .clk(eth_clk),
302
   .rst(wb_rst)
303
   );
304 7 unneback
obufdff obufdff_sync3
305
  (
306
   .d(sync),
307
   .pad(eth_sync_pad_o[3]),
308
   .clk(eth_clk),
309
   .rst(wb_rst)
310
   );
311 3 unneback
obufdff obufdff_tx3
312
  (
313
   .d(tx[3]),
314
   .pad(eth_tx_pad_o[3]),
315
   .clk(eth_clk),
316
   .rst(wb_rst)
317
   );
318
ibufdff ibufdff_rx3
319
  (
320
   .pad(eth_rx_pad_i[3]),
321
   .q(rx[3]),
322
   .clk(eth_clk),
323
   .rst(wb_rst)
324
   );
325
eth_top eth_top4
326
        (
327
         .wb_clk_i(wb_clk),
328
         .wb_rst_i(wb_rst),
329
         .wb_dat_i(wbs_eth4_cfg_dat_i),
330
         .wb_dat_o(wbs_eth4_cfg_dat_o),
331
         .wb_adr_i(wbs_eth4_cfg_adr_i[11:2]),
332
         .wb_sel_i(wbs_eth4_cfg_sel_i),
333
         .wb_we_i(wbs_eth4_cfg_we_i),
334
         .wb_cyc_i(wbs_eth4_cfg_cyc_i),
335
         .wb_stb_i(wbs_eth4_cfg_stb_i),
336
         .wb_ack_o(wbs_eth4_cfg_ack_o),
337
         .wb_err_o(wbs_eth4_cfg_err_o),
338
         .m_wb_adr_o(wbm_eth4_adr_o),
339
         .m_wb_sel_o(wbm_eth4_sel_o),
340
         .m_wb_we_o(wbm_eth4_we_o),
341
         .m_wb_dat_o(wbm_eth4_dat_o),
342
         .m_wb_dat_i(wbm_eth4_dat_i),
343
         .m_wb_cyc_o(wbm_eth4_cyc_o),
344
         .m_wb_stb_o(wbm_eth4_stb_o),
345
         .m_wb_ack_i(wbm_eth4_ack_i),
346
         .m_wb_err_i(wbm_eth4_err_i),
347
         .m_wb_cti_o(wbm_eth4_cti_o),
348
         .m_wb_bte_o(wbm_eth4_bte_o),
349
         .mtx_clk_pad_i(m4tx_clk),
350
         .mtxd_pad_o(m4txd),
351
         .mtxen_pad_o(m4txen),
352
         .mtxerr_pad_o(m4txerr),
353
         .mrx_clk_pad_i(m4rx_clk),
354
         .mrxd_pad_i(m4rxd),
355
         .mrxdv_pad_i(m4rxdv),
356
         .mrxerr_pad_i(m4rxerr),
357
         .mcoll_pad_i(m4coll),
358
         .mcrs_pad_i(m4crs),
359
         .mdc_pad_o(mdc_o[4]),
360
         .md_pad_i(md_i[4]),
361
         .md_pad_o(md_o[4]),
362
         .md_padoe_o(md_oe[4]),
363
         .int_o(eth_int[4])
364
         );
365
iobuftri iobuftri4
366
  (
367
   .i(md_o[4]),
368
   .oe(md_oe[4]),
369
   .o(md_i[4]),
370
   .pad(eth_md_pad_io[4])
371
   );
372
obuf obuf4
373
  (
374
   .i(mdc_o[4]),
375
   .pad(eth_mdc_pad_o[4])
376
   );
377
smii_txrx smii_txrx4
378
  (
379
   .tx(tx[4]),
380
   .rx(rx[4]),
381
   .mtx_clk(m4tx_clk),
382
   .mtxd(m4txd),
383
   .mtxen(m4txen),
384
   .mtxerr(m4txerr),
385
   .mrx_clk(m4rx_clk),
386
   .mrxd(m4rxd),
387
   .mrxdv(m4rxdv),
388
   .mrxerr(m4rxerr),
389
   .mcoll(m4coll),
390
   .mcrs(m4crs),
391
   .state(state),
392
   .clk(eth_clk),
393
   .rst(wb_rst)
394
   );
395 7 unneback
obufdff obufdff_sync4
396
  (
397
   .d(sync),
398
   .pad(eth_sync_pad_o[4]),
399
   .clk(eth_clk),
400
   .rst(wb_rst)
401
   );
402 3 unneback
obufdff obufdff_tx4
403
  (
404
   .d(tx[4]),
405
   .pad(eth_tx_pad_o[4]),
406
   .clk(eth_clk),
407
   .rst(wb_rst)
408
   );
409
ibufdff ibufdff_rx4
410
  (
411
   .pad(eth_rx_pad_i[4]),
412
   .q(rx[4]),
413
   .clk(eth_clk),
414
   .rst(wb_rst)
415
   );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.