OpenCores
URL https://opencores.org/ocsvn/smii/smii/trunk

Subversion Repositories smii

[/] [smii/] [trunk/] [rtl/] [verilog/] [smii_module_inst_8.v] - Blame information for rev 7

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 unneback
wire         m1tx_clk;
2
wire [3:0]            m1txd;
3
wire         m1txen;
4
wire         m1txerr;
5
wire         m1rx_clk;
6
wire [3:0]            m1rxd;
7
wire         m1rxdv;
8
wire         m1rxerr;
9
wire         m1coll;
10
wire         m1crs;
11
wire         m2tx_clk;
12
wire [3:0]            m2txd;
13
wire         m2txen;
14
wire         m2txerr;
15
wire         m2rx_clk;
16
wire [3:0]            m2rxd;
17
wire         m2rxdv;
18
wire         m2rxerr;
19
wire         m2coll;
20
wire         m2crs;
21
wire         m3tx_clk;
22
wire [3:0]            m3txd;
23
wire         m3txen;
24
wire         m3txerr;
25
wire         m3rx_clk;
26
wire [3:0]            m3rxd;
27
wire         m3rxdv;
28
wire         m3rxerr;
29
wire         m3coll;
30
wire         m3crs;
31
wire         m4tx_clk;
32
wire [3:0]            m4txd;
33
wire         m4txen;
34
wire         m4txerr;
35
wire         m4rx_clk;
36
wire [3:0]            m4rxd;
37
wire         m4rxdv;
38
wire         m4rxerr;
39
wire         m4coll;
40
wire         m4crs;
41
wire         m5tx_clk;
42
wire [3:0]            m5txd;
43
wire         m5txen;
44
wire         m5txerr;
45
wire         m5rx_clk;
46
wire [3:0]            m5rxd;
47
wire         m5rxdv;
48
wire         m5rxerr;
49
wire         m5coll;
50
wire         m5crs;
51
wire         m6tx_clk;
52
wire [3:0]            m6txd;
53
wire         m6txen;
54
wire         m6txerr;
55
wire         m6rx_clk;
56
wire [3:0]            m6rxd;
57
wire         m6rxdv;
58
wire         m6rxerr;
59
wire         m6coll;
60
wire         m6crs;
61
wire         m7tx_clk;
62
wire [3:0]            m7txd;
63
wire         m7txen;
64
wire         m7txerr;
65
wire         m7rx_clk;
66
wire [3:0]            m7rxd;
67
wire         m7rxdv;
68
wire         m7rxerr;
69
wire         m7coll;
70
wire         m7crs;
71
wire         m8tx_clk;
72
wire [3:0]            m8txd;
73
wire         m8txen;
74
wire         m8txerr;
75
wire         m8rx_clk;
76
wire [3:0]            m8rxd;
77
wire         m8rxdv;
78
wire         m8rxerr;
79
wire         m8coll;
80
wire         m8crs;
81
wire [1:10]          state;
82
wire              sync;
83
wire [1:8]    rx, tx;
84
wire [1:8]    mdc_o, md_i, md_o, md_oe;
85
smii_sync smii_sync1
86
  (
87
   .sync(sync),
88
   .state(state),
89
   .clk(eth_clk),
90
   .rst(wb_rst)
91
   );
92
eth_top eth_top1
93
        (
94
         .wb_clk_i(wb_clk),
95
         .wb_rst_i(wb_rst),
96
         .wb_dat_i(wbs_eth1_cfg_dat_i),
97
         .wb_dat_o(wbs_eth1_cfg_dat_o),
98
         .wb_adr_i(wbs_eth1_cfg_adr_i[11:2]),
99
         .wb_sel_i(wbs_eth1_cfg_sel_i),
100
         .wb_we_i(wbs_eth1_cfg_we_i),
101
         .wb_cyc_i(wbs_eth1_cfg_cyc_i),
102
         .wb_stb_i(wbs_eth1_cfg_stb_i),
103
         .wb_ack_o(wbs_eth1_cfg_ack_o),
104
         .wb_err_o(wbs_eth1_cfg_err_o),
105
         .m_wb_adr_o(wbm_eth1_adr_o),
106
         .m_wb_sel_o(wbm_eth1_sel_o),
107
         .m_wb_we_o(wbm_eth1_we_o),
108
         .m_wb_dat_o(wbm_eth1_dat_o),
109
         .m_wb_dat_i(wbm_eth1_dat_i),
110
         .m_wb_cyc_o(wbm_eth1_cyc_o),
111
         .m_wb_stb_o(wbm_eth1_stb_o),
112
         .m_wb_ack_i(wbm_eth1_ack_i),
113
         .m_wb_err_i(wbm_eth1_err_i),
114
         .m_wb_cti_o(wbm_eth1_cti_o),
115
         .m_wb_bte_o(wbm_eth1_bte_o),
116
         .mtx_clk_pad_i(m1tx_clk),
117
         .mtxd_pad_o(m1txd),
118
         .mtxen_pad_o(m1txen),
119
         .mtxerr_pad_o(m1txerr),
120
         .mrx_clk_pad_i(m1rx_clk),
121
         .mrxd_pad_i(m1rxd),
122
         .mrxdv_pad_i(m1rxdv),
123
         .mrxerr_pad_i(m1rxerr),
124
         .mcoll_pad_i(m1coll),
125
         .mcrs_pad_i(m1crs),
126
         .mdc_pad_o(mdc_o[1]),
127
         .md_pad_i(md_i[1]),
128
         .md_pad_o(md_o[1]),
129
         .md_padoe_o(md_oe[1]),
130
         .int_o(eth_int[1])
131
         );
132
iobuftri iobuftri1
133
  (
134
   .i(md_o[1]),
135
   .oe(md_oe[1]),
136
   .o(md_i[1]),
137
   .pad(eth_md_pad_io[1])
138
   );
139
obuf obuf1
140
  (
141
   .i(mdc_o[1]),
142
   .pad(eth_mdc_pad_o[1])
143
   );
144
smii_txrx smii_txrx1
145
  (
146
   .tx(tx[1]),
147
   .rx(rx[1]),
148
   .mtx_clk(m1tx_clk),
149
   .mtxd(m1txd),
150
   .mtxen(m1txen),
151
   .mtxerr(m1txerr),
152
   .mrx_clk(m1rx_clk),
153
   .mrxd(m1rxd),
154
   .mrxdv(m1rxdv),
155
   .mrxerr(m1rxerr),
156
   .mcoll(m1coll),
157
   .mcrs(m1crs),
158
   .state(state),
159
   .clk(eth_clk),
160
   .rst(wb_rst)
161
   );
162 7 unneback
obufdff obufdff_sync1
163
  (
164
   .d(sync),
165
   .pad(eth_sync_pad_o[1]),
166
   .clk(eth_clk),
167
   .rst(wb_rst)
168
   );
169 3 unneback
obufdff obufdff_tx1
170
  (
171
   .d(tx[1]),
172
   .pad(eth_tx_pad_o[1]),
173
   .clk(eth_clk),
174
   .rst(wb_rst)
175
   );
176
ibufdff ibufdff_rx1
177
  (
178
   .pad(eth_rx_pad_i[1]),
179
   .q(rx[1]),
180
   .clk(eth_clk),
181
   .rst(wb_rst)
182
   );
183
eth_top eth_top2
184
        (
185
         .wb_clk_i(wb_clk),
186
         .wb_rst_i(wb_rst),
187
         .wb_dat_i(wbs_eth2_cfg_dat_i),
188
         .wb_dat_o(wbs_eth2_cfg_dat_o),
189
         .wb_adr_i(wbs_eth2_cfg_adr_i[11:2]),
190
         .wb_sel_i(wbs_eth2_cfg_sel_i),
191
         .wb_we_i(wbs_eth2_cfg_we_i),
192
         .wb_cyc_i(wbs_eth2_cfg_cyc_i),
193
         .wb_stb_i(wbs_eth2_cfg_stb_i),
194
         .wb_ack_o(wbs_eth2_cfg_ack_o),
195
         .wb_err_o(wbs_eth2_cfg_err_o),
196
         .m_wb_adr_o(wbm_eth2_adr_o),
197
         .m_wb_sel_o(wbm_eth2_sel_o),
198
         .m_wb_we_o(wbm_eth2_we_o),
199
         .m_wb_dat_o(wbm_eth2_dat_o),
200
         .m_wb_dat_i(wbm_eth2_dat_i),
201
         .m_wb_cyc_o(wbm_eth2_cyc_o),
202
         .m_wb_stb_o(wbm_eth2_stb_o),
203
         .m_wb_ack_i(wbm_eth2_ack_i),
204
         .m_wb_err_i(wbm_eth2_err_i),
205
         .m_wb_cti_o(wbm_eth2_cti_o),
206
         .m_wb_bte_o(wbm_eth2_bte_o),
207
         .mtx_clk_pad_i(m2tx_clk),
208
         .mtxd_pad_o(m2txd),
209
         .mtxen_pad_o(m2txen),
210
         .mtxerr_pad_o(m2txerr),
211
         .mrx_clk_pad_i(m2rx_clk),
212
         .mrxd_pad_i(m2rxd),
213
         .mrxdv_pad_i(m2rxdv),
214
         .mrxerr_pad_i(m2rxerr),
215
         .mcoll_pad_i(m2coll),
216
         .mcrs_pad_i(m2crs),
217
         .mdc_pad_o(mdc_o[2]),
218
         .md_pad_i(md_i[2]),
219
         .md_pad_o(md_o[2]),
220
         .md_padoe_o(md_oe[2]),
221
         .int_o(eth_int[2])
222
         );
223
iobuftri iobuftri2
224
  (
225
   .i(md_o[2]),
226
   .oe(md_oe[2]),
227
   .o(md_i[2]),
228
   .pad(eth_md_pad_io[2])
229
   );
230
obuf obuf2
231
  (
232
   .i(mdc_o[2]),
233
   .pad(eth_mdc_pad_o[2])
234
   );
235
smii_txrx smii_txrx2
236
  (
237
   .tx(tx[2]),
238
   .rx(rx[2]),
239
   .mtx_clk(m2tx_clk),
240
   .mtxd(m2txd),
241
   .mtxen(m2txen),
242
   .mtxerr(m2txerr),
243
   .mrx_clk(m2rx_clk),
244
   .mrxd(m2rxd),
245
   .mrxdv(m2rxdv),
246
   .mrxerr(m2rxerr),
247
   .mcoll(m2coll),
248
   .mcrs(m2crs),
249
   .state(state),
250
   .clk(eth_clk),
251
   .rst(wb_rst)
252
   );
253 7 unneback
obufdff obufdff_sync2
254
  (
255
   .d(sync),
256
   .pad(eth_sync_pad_o[2]),
257
   .clk(eth_clk),
258
   .rst(wb_rst)
259
   );
260 3 unneback
obufdff obufdff_tx2
261
  (
262
   .d(tx[2]),
263
   .pad(eth_tx_pad_o[2]),
264
   .clk(eth_clk),
265
   .rst(wb_rst)
266
   );
267
ibufdff ibufdff_rx2
268
  (
269
   .pad(eth_rx_pad_i[2]),
270
   .q(rx[2]),
271
   .clk(eth_clk),
272
   .rst(wb_rst)
273
   );
274
eth_top eth_top3
275
        (
276
         .wb_clk_i(wb_clk),
277
         .wb_rst_i(wb_rst),
278
         .wb_dat_i(wbs_eth3_cfg_dat_i),
279
         .wb_dat_o(wbs_eth3_cfg_dat_o),
280
         .wb_adr_i(wbs_eth3_cfg_adr_i[11:2]),
281
         .wb_sel_i(wbs_eth3_cfg_sel_i),
282
         .wb_we_i(wbs_eth3_cfg_we_i),
283
         .wb_cyc_i(wbs_eth3_cfg_cyc_i),
284
         .wb_stb_i(wbs_eth3_cfg_stb_i),
285
         .wb_ack_o(wbs_eth3_cfg_ack_o),
286
         .wb_err_o(wbs_eth3_cfg_err_o),
287
         .m_wb_adr_o(wbm_eth3_adr_o),
288
         .m_wb_sel_o(wbm_eth3_sel_o),
289
         .m_wb_we_o(wbm_eth3_we_o),
290
         .m_wb_dat_o(wbm_eth3_dat_o),
291
         .m_wb_dat_i(wbm_eth3_dat_i),
292
         .m_wb_cyc_o(wbm_eth3_cyc_o),
293
         .m_wb_stb_o(wbm_eth3_stb_o),
294
         .m_wb_ack_i(wbm_eth3_ack_i),
295
         .m_wb_err_i(wbm_eth3_err_i),
296
         .m_wb_cti_o(wbm_eth3_cti_o),
297
         .m_wb_bte_o(wbm_eth3_bte_o),
298
         .mtx_clk_pad_i(m3tx_clk),
299
         .mtxd_pad_o(m3txd),
300
         .mtxen_pad_o(m3txen),
301
         .mtxerr_pad_o(m3txerr),
302
         .mrx_clk_pad_i(m3rx_clk),
303
         .mrxd_pad_i(m3rxd),
304
         .mrxdv_pad_i(m3rxdv),
305
         .mrxerr_pad_i(m3rxerr),
306
         .mcoll_pad_i(m3coll),
307
         .mcrs_pad_i(m3crs),
308
         .mdc_pad_o(mdc_o[3]),
309
         .md_pad_i(md_i[3]),
310
         .md_pad_o(md_o[3]),
311
         .md_padoe_o(md_oe[3]),
312
         .int_o(eth_int[3])
313
         );
314
iobuftri iobuftri3
315
  (
316
   .i(md_o[3]),
317
   .oe(md_oe[3]),
318
   .o(md_i[3]),
319
   .pad(eth_md_pad_io[3])
320
   );
321
obuf obuf3
322
  (
323
   .i(mdc_o[3]),
324
   .pad(eth_mdc_pad_o[3])
325
   );
326
smii_txrx smii_txrx3
327
  (
328
   .tx(tx[3]),
329
   .rx(rx[3]),
330
   .mtx_clk(m3tx_clk),
331
   .mtxd(m3txd),
332
   .mtxen(m3txen),
333
   .mtxerr(m3txerr),
334
   .mrx_clk(m3rx_clk),
335
   .mrxd(m3rxd),
336
   .mrxdv(m3rxdv),
337
   .mrxerr(m3rxerr),
338
   .mcoll(m3coll),
339
   .mcrs(m3crs),
340
   .state(state),
341
   .clk(eth_clk),
342
   .rst(wb_rst)
343
   );
344 7 unneback
obufdff obufdff_sync3
345
  (
346
   .d(sync),
347
   .pad(eth_sync_pad_o[3]),
348
   .clk(eth_clk),
349
   .rst(wb_rst)
350
   );
351 3 unneback
obufdff obufdff_tx3
352
  (
353
   .d(tx[3]),
354
   .pad(eth_tx_pad_o[3]),
355
   .clk(eth_clk),
356
   .rst(wb_rst)
357
   );
358
ibufdff ibufdff_rx3
359
  (
360
   .pad(eth_rx_pad_i[3]),
361
   .q(rx[3]),
362
   .clk(eth_clk),
363
   .rst(wb_rst)
364
   );
365
eth_top eth_top4
366
        (
367
         .wb_clk_i(wb_clk),
368
         .wb_rst_i(wb_rst),
369
         .wb_dat_i(wbs_eth4_cfg_dat_i),
370
         .wb_dat_o(wbs_eth4_cfg_dat_o),
371
         .wb_adr_i(wbs_eth4_cfg_adr_i[11:2]),
372
         .wb_sel_i(wbs_eth4_cfg_sel_i),
373
         .wb_we_i(wbs_eth4_cfg_we_i),
374
         .wb_cyc_i(wbs_eth4_cfg_cyc_i),
375
         .wb_stb_i(wbs_eth4_cfg_stb_i),
376
         .wb_ack_o(wbs_eth4_cfg_ack_o),
377
         .wb_err_o(wbs_eth4_cfg_err_o),
378
         .m_wb_adr_o(wbm_eth4_adr_o),
379
         .m_wb_sel_o(wbm_eth4_sel_o),
380
         .m_wb_we_o(wbm_eth4_we_o),
381
         .m_wb_dat_o(wbm_eth4_dat_o),
382
         .m_wb_dat_i(wbm_eth4_dat_i),
383
         .m_wb_cyc_o(wbm_eth4_cyc_o),
384
         .m_wb_stb_o(wbm_eth4_stb_o),
385
         .m_wb_ack_i(wbm_eth4_ack_i),
386
         .m_wb_err_i(wbm_eth4_err_i),
387
         .m_wb_cti_o(wbm_eth4_cti_o),
388
         .m_wb_bte_o(wbm_eth4_bte_o),
389
         .mtx_clk_pad_i(m4tx_clk),
390
         .mtxd_pad_o(m4txd),
391
         .mtxen_pad_o(m4txen),
392
         .mtxerr_pad_o(m4txerr),
393
         .mrx_clk_pad_i(m4rx_clk),
394
         .mrxd_pad_i(m4rxd),
395
         .mrxdv_pad_i(m4rxdv),
396
         .mrxerr_pad_i(m4rxerr),
397
         .mcoll_pad_i(m4coll),
398
         .mcrs_pad_i(m4crs),
399
         .mdc_pad_o(mdc_o[4]),
400
         .md_pad_i(md_i[4]),
401
         .md_pad_o(md_o[4]),
402
         .md_padoe_o(md_oe[4]),
403
         .int_o(eth_int[4])
404
         );
405
iobuftri iobuftri4
406
  (
407
   .i(md_o[4]),
408
   .oe(md_oe[4]),
409
   .o(md_i[4]),
410
   .pad(eth_md_pad_io[4])
411
   );
412
obuf obuf4
413
  (
414
   .i(mdc_o[4]),
415
   .pad(eth_mdc_pad_o[4])
416
   );
417
smii_txrx smii_txrx4
418
  (
419
   .tx(tx[4]),
420
   .rx(rx[4]),
421
   .mtx_clk(m4tx_clk),
422
   .mtxd(m4txd),
423
   .mtxen(m4txen),
424
   .mtxerr(m4txerr),
425
   .mrx_clk(m4rx_clk),
426
   .mrxd(m4rxd),
427
   .mrxdv(m4rxdv),
428
   .mrxerr(m4rxerr),
429
   .mcoll(m4coll),
430
   .mcrs(m4crs),
431
   .state(state),
432
   .clk(eth_clk),
433
   .rst(wb_rst)
434
   );
435 7 unneback
obufdff obufdff_sync4
436
  (
437
   .d(sync),
438
   .pad(eth_sync_pad_o[4]),
439
   .clk(eth_clk),
440
   .rst(wb_rst)
441
   );
442 3 unneback
obufdff obufdff_tx4
443
  (
444
   .d(tx[4]),
445
   .pad(eth_tx_pad_o[4]),
446
   .clk(eth_clk),
447
   .rst(wb_rst)
448
   );
449
ibufdff ibufdff_rx4
450
  (
451
   .pad(eth_rx_pad_i[4]),
452
   .q(rx[4]),
453
   .clk(eth_clk),
454
   .rst(wb_rst)
455
   );
456
eth_top eth_top5
457
        (
458
         .wb_clk_i(wb_clk),
459
         .wb_rst_i(wb_rst),
460
         .wb_dat_i(wbs_eth5_cfg_dat_i),
461
         .wb_dat_o(wbs_eth5_cfg_dat_o),
462
         .wb_adr_i(wbs_eth5_cfg_adr_i[11:2]),
463
         .wb_sel_i(wbs_eth5_cfg_sel_i),
464
         .wb_we_i(wbs_eth5_cfg_we_i),
465
         .wb_cyc_i(wbs_eth5_cfg_cyc_i),
466
         .wb_stb_i(wbs_eth5_cfg_stb_i),
467
         .wb_ack_o(wbs_eth5_cfg_ack_o),
468
         .wb_err_o(wbs_eth5_cfg_err_o),
469
         .m_wb_adr_o(wbm_eth5_adr_o),
470
         .m_wb_sel_o(wbm_eth5_sel_o),
471
         .m_wb_we_o(wbm_eth5_we_o),
472
         .m_wb_dat_o(wbm_eth5_dat_o),
473
         .m_wb_dat_i(wbm_eth5_dat_i),
474
         .m_wb_cyc_o(wbm_eth5_cyc_o),
475
         .m_wb_stb_o(wbm_eth5_stb_o),
476
         .m_wb_ack_i(wbm_eth5_ack_i),
477
         .m_wb_err_i(wbm_eth5_err_i),
478
         .m_wb_cti_o(wbm_eth5_cti_o),
479
         .m_wb_bte_o(wbm_eth5_bte_o),
480
         .mtx_clk_pad_i(m5tx_clk),
481
         .mtxd_pad_o(m5txd),
482
         .mtxen_pad_o(m5txen),
483
         .mtxerr_pad_o(m5txerr),
484
         .mrx_clk_pad_i(m5rx_clk),
485
         .mrxd_pad_i(m5rxd),
486
         .mrxdv_pad_i(m5rxdv),
487
         .mrxerr_pad_i(m5rxerr),
488
         .mcoll_pad_i(m5coll),
489
         .mcrs_pad_i(m5crs),
490
         .mdc_pad_o(mdc_o[5]),
491
         .md_pad_i(md_i[5]),
492
         .md_pad_o(md_o[5]),
493
         .md_padoe_o(md_oe[5]),
494
         .int_o(eth_int[5])
495
         );
496
iobuftri iobuftri5
497
  (
498
   .i(md_o[5]),
499
   .oe(md_oe[5]),
500
   .o(md_i[5]),
501
   .pad(eth_md_pad_io[5])
502
   );
503
obuf obuf5
504
  (
505
   .i(mdc_o[5]),
506
   .pad(eth_mdc_pad_o[5])
507
   );
508
smii_txrx smii_txrx5
509
  (
510
   .tx(tx[5]),
511
   .rx(rx[5]),
512
   .mtx_clk(m5tx_clk),
513
   .mtxd(m5txd),
514
   .mtxen(m5txen),
515
   .mtxerr(m5txerr),
516
   .mrx_clk(m5rx_clk),
517
   .mrxd(m5rxd),
518
   .mrxdv(m5rxdv),
519
   .mrxerr(m5rxerr),
520
   .mcoll(m5coll),
521
   .mcrs(m5crs),
522
   .state(state),
523
   .clk(eth_clk),
524
   .rst(wb_rst)
525
   );
526 7 unneback
obufdff obufdff_sync5
527
  (
528
   .d(sync),
529
   .pad(eth_sync_pad_o[5]),
530
   .clk(eth_clk),
531
   .rst(wb_rst)
532
   );
533 3 unneback
obufdff obufdff_tx5
534
  (
535
   .d(tx[5]),
536
   .pad(eth_tx_pad_o[5]),
537
   .clk(eth_clk),
538
   .rst(wb_rst)
539
   );
540
ibufdff ibufdff_rx5
541
  (
542
   .pad(eth_rx_pad_i[5]),
543
   .q(rx[5]),
544
   .clk(eth_clk),
545
   .rst(wb_rst)
546
   );
547
eth_top eth_top6
548
        (
549
         .wb_clk_i(wb_clk),
550
         .wb_rst_i(wb_rst),
551
         .wb_dat_i(wbs_eth6_cfg_dat_i),
552
         .wb_dat_o(wbs_eth6_cfg_dat_o),
553
         .wb_adr_i(wbs_eth6_cfg_adr_i[11:2]),
554
         .wb_sel_i(wbs_eth6_cfg_sel_i),
555
         .wb_we_i(wbs_eth6_cfg_we_i),
556
         .wb_cyc_i(wbs_eth6_cfg_cyc_i),
557
         .wb_stb_i(wbs_eth6_cfg_stb_i),
558
         .wb_ack_o(wbs_eth6_cfg_ack_o),
559
         .wb_err_o(wbs_eth6_cfg_err_o),
560
         .m_wb_adr_o(wbm_eth6_adr_o),
561
         .m_wb_sel_o(wbm_eth6_sel_o),
562
         .m_wb_we_o(wbm_eth6_we_o),
563
         .m_wb_dat_o(wbm_eth6_dat_o),
564
         .m_wb_dat_i(wbm_eth6_dat_i),
565
         .m_wb_cyc_o(wbm_eth6_cyc_o),
566
         .m_wb_stb_o(wbm_eth6_stb_o),
567
         .m_wb_ack_i(wbm_eth6_ack_i),
568
         .m_wb_err_i(wbm_eth6_err_i),
569
         .m_wb_cti_o(wbm_eth6_cti_o),
570
         .m_wb_bte_o(wbm_eth6_bte_o),
571
         .mtx_clk_pad_i(m6tx_clk),
572
         .mtxd_pad_o(m6txd),
573
         .mtxen_pad_o(m6txen),
574
         .mtxerr_pad_o(m6txerr),
575
         .mrx_clk_pad_i(m6rx_clk),
576
         .mrxd_pad_i(m6rxd),
577
         .mrxdv_pad_i(m6rxdv),
578
         .mrxerr_pad_i(m6rxerr),
579
         .mcoll_pad_i(m6coll),
580
         .mcrs_pad_i(m6crs),
581
         .mdc_pad_o(mdc_o[6]),
582
         .md_pad_i(md_i[6]),
583
         .md_pad_o(md_o[6]),
584
         .md_padoe_o(md_oe[6]),
585
         .int_o(eth_int[6])
586
         );
587
iobuftri iobuftri6
588
  (
589
   .i(md_o[6]),
590
   .oe(md_oe[6]),
591
   .o(md_i[6]),
592
   .pad(eth_md_pad_io[6])
593
   );
594
obuf obuf6
595
  (
596
   .i(mdc_o[6]),
597
   .pad(eth_mdc_pad_o[6])
598
   );
599
smii_txrx smii_txrx6
600
  (
601
   .tx(tx[6]),
602
   .rx(rx[6]),
603
   .mtx_clk(m6tx_clk),
604
   .mtxd(m6txd),
605
   .mtxen(m6txen),
606
   .mtxerr(m6txerr),
607
   .mrx_clk(m6rx_clk),
608
   .mrxd(m6rxd),
609
   .mrxdv(m6rxdv),
610
   .mrxerr(m6rxerr),
611
   .mcoll(m6coll),
612
   .mcrs(m6crs),
613
   .state(state),
614
   .clk(eth_clk),
615
   .rst(wb_rst)
616
   );
617 7 unneback
obufdff obufdff_sync6
618
  (
619
   .d(sync),
620
   .pad(eth_sync_pad_o[6]),
621
   .clk(eth_clk),
622
   .rst(wb_rst)
623
   );
624 3 unneback
obufdff obufdff_tx6
625
  (
626
   .d(tx[6]),
627
   .pad(eth_tx_pad_o[6]),
628
   .clk(eth_clk),
629
   .rst(wb_rst)
630
   );
631
ibufdff ibufdff_rx6
632
  (
633
   .pad(eth_rx_pad_i[6]),
634
   .q(rx[6]),
635
   .clk(eth_clk),
636
   .rst(wb_rst)
637
   );
638
eth_top eth_top7
639
        (
640
         .wb_clk_i(wb_clk),
641
         .wb_rst_i(wb_rst),
642
         .wb_dat_i(wbs_eth7_cfg_dat_i),
643
         .wb_dat_o(wbs_eth7_cfg_dat_o),
644
         .wb_adr_i(wbs_eth7_cfg_adr_i[11:2]),
645
         .wb_sel_i(wbs_eth7_cfg_sel_i),
646
         .wb_we_i(wbs_eth7_cfg_we_i),
647
         .wb_cyc_i(wbs_eth7_cfg_cyc_i),
648
         .wb_stb_i(wbs_eth7_cfg_stb_i),
649
         .wb_ack_o(wbs_eth7_cfg_ack_o),
650
         .wb_err_o(wbs_eth7_cfg_err_o),
651
         .m_wb_adr_o(wbm_eth7_adr_o),
652
         .m_wb_sel_o(wbm_eth7_sel_o),
653
         .m_wb_we_o(wbm_eth7_we_o),
654
         .m_wb_dat_o(wbm_eth7_dat_o),
655
         .m_wb_dat_i(wbm_eth7_dat_i),
656
         .m_wb_cyc_o(wbm_eth7_cyc_o),
657
         .m_wb_stb_o(wbm_eth7_stb_o),
658
         .m_wb_ack_i(wbm_eth7_ack_i),
659
         .m_wb_err_i(wbm_eth7_err_i),
660
         .m_wb_cti_o(wbm_eth7_cti_o),
661
         .m_wb_bte_o(wbm_eth7_bte_o),
662
         .mtx_clk_pad_i(m7tx_clk),
663
         .mtxd_pad_o(m7txd),
664
         .mtxen_pad_o(m7txen),
665
         .mtxerr_pad_o(m7txerr),
666
         .mrx_clk_pad_i(m7rx_clk),
667
         .mrxd_pad_i(m7rxd),
668
         .mrxdv_pad_i(m7rxdv),
669
         .mrxerr_pad_i(m7rxerr),
670
         .mcoll_pad_i(m7coll),
671
         .mcrs_pad_i(m7crs),
672
         .mdc_pad_o(mdc_o[7]),
673
         .md_pad_i(md_i[7]),
674
         .md_pad_o(md_o[7]),
675
         .md_padoe_o(md_oe[7]),
676
         .int_o(eth_int[7])
677
         );
678
iobuftri iobuftri7
679
  (
680
   .i(md_o[7]),
681
   .oe(md_oe[7]),
682
   .o(md_i[7]),
683
   .pad(eth_md_pad_io[7])
684
   );
685
obuf obuf7
686
  (
687
   .i(mdc_o[7]),
688
   .pad(eth_mdc_pad_o[7])
689
   );
690
smii_txrx smii_txrx7
691
  (
692
   .tx(tx[7]),
693
   .rx(rx[7]),
694
   .mtx_clk(m7tx_clk),
695
   .mtxd(m7txd),
696
   .mtxen(m7txen),
697
   .mtxerr(m7txerr),
698
   .mrx_clk(m7rx_clk),
699
   .mrxd(m7rxd),
700
   .mrxdv(m7rxdv),
701
   .mrxerr(m7rxerr),
702
   .mcoll(m7coll),
703
   .mcrs(m7crs),
704
   .state(state),
705
   .clk(eth_clk),
706
   .rst(wb_rst)
707
   );
708 7 unneback
obufdff obufdff_sync7
709
  (
710
   .d(sync),
711
   .pad(eth_sync_pad_o[7]),
712
   .clk(eth_clk),
713
   .rst(wb_rst)
714
   );
715 3 unneback
obufdff obufdff_tx7
716
  (
717
   .d(tx[7]),
718
   .pad(eth_tx_pad_o[7]),
719
   .clk(eth_clk),
720
   .rst(wb_rst)
721
   );
722
ibufdff ibufdff_rx7
723
  (
724
   .pad(eth_rx_pad_i[7]),
725
   .q(rx[7]),
726
   .clk(eth_clk),
727
   .rst(wb_rst)
728
   );
729
eth_top eth_top8
730
        (
731
         .wb_clk_i(wb_clk),
732
         .wb_rst_i(wb_rst),
733
         .wb_dat_i(wbs_eth8_cfg_dat_i),
734
         .wb_dat_o(wbs_eth8_cfg_dat_o),
735
         .wb_adr_i(wbs_eth8_cfg_adr_i[11:2]),
736
         .wb_sel_i(wbs_eth8_cfg_sel_i),
737
         .wb_we_i(wbs_eth8_cfg_we_i),
738
         .wb_cyc_i(wbs_eth8_cfg_cyc_i),
739
         .wb_stb_i(wbs_eth8_cfg_stb_i),
740
         .wb_ack_o(wbs_eth8_cfg_ack_o),
741
         .wb_err_o(wbs_eth8_cfg_err_o),
742
         .m_wb_adr_o(wbm_eth8_adr_o),
743
         .m_wb_sel_o(wbm_eth8_sel_o),
744
         .m_wb_we_o(wbm_eth8_we_o),
745
         .m_wb_dat_o(wbm_eth8_dat_o),
746
         .m_wb_dat_i(wbm_eth8_dat_i),
747
         .m_wb_cyc_o(wbm_eth8_cyc_o),
748
         .m_wb_stb_o(wbm_eth8_stb_o),
749
         .m_wb_ack_i(wbm_eth8_ack_i),
750
         .m_wb_err_i(wbm_eth8_err_i),
751
         .m_wb_cti_o(wbm_eth8_cti_o),
752
         .m_wb_bte_o(wbm_eth8_bte_o),
753
         .mtx_clk_pad_i(m8tx_clk),
754
         .mtxd_pad_o(m8txd),
755
         .mtxen_pad_o(m8txen),
756
         .mtxerr_pad_o(m8txerr),
757
         .mrx_clk_pad_i(m8rx_clk),
758
         .mrxd_pad_i(m8rxd),
759
         .mrxdv_pad_i(m8rxdv),
760
         .mrxerr_pad_i(m8rxerr),
761
         .mcoll_pad_i(m8coll),
762
         .mcrs_pad_i(m8crs),
763
         .mdc_pad_o(mdc_o[8]),
764
         .md_pad_i(md_i[8]),
765
         .md_pad_o(md_o[8]),
766
         .md_padoe_o(md_oe[8]),
767
         .int_o(eth_int[8])
768
         );
769
iobuftri iobuftri8
770
  (
771
   .i(md_o[8]),
772
   .oe(md_oe[8]),
773
   .o(md_i[8]),
774
   .pad(eth_md_pad_io[8])
775
   );
776
obuf obuf8
777
  (
778
   .i(mdc_o[8]),
779
   .pad(eth_mdc_pad_o[8])
780
   );
781
smii_txrx smii_txrx8
782
  (
783
   .tx(tx[8]),
784
   .rx(rx[8]),
785
   .mtx_clk(m8tx_clk),
786
   .mtxd(m8txd),
787
   .mtxen(m8txen),
788
   .mtxerr(m8txerr),
789
   .mrx_clk(m8rx_clk),
790
   .mrxd(m8rxd),
791
   .mrxdv(m8rxdv),
792
   .mrxerr(m8rxerr),
793
   .mcoll(m8coll),
794
   .mcrs(m8crs),
795
   .state(state),
796
   .clk(eth_clk),
797
   .rst(wb_rst)
798
   );
799 7 unneback
obufdff obufdff_sync8
800
  (
801
   .d(sync),
802
   .pad(eth_sync_pad_o[8]),
803
   .clk(eth_clk),
804
   .rst(wb_rst)
805
   );
806 3 unneback
obufdff obufdff_tx8
807
  (
808
   .d(tx[8]),
809
   .pad(eth_tx_pad_o[8]),
810
   .clk(eth_clk),
811
   .rst(wb_rst)
812
   );
813
ibufdff ibufdff_rx8
814
  (
815
   .pad(eth_rx_pad_i[8]),
816
   .q(rx[8]),
817
   .clk(eth_clk),
818
   .rst(wb_rst)
819
   );

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.