OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [valentfx.com/] [fpgas/] [doc/] [sch/] [logipi_T6502_default.sch] - Blame information for rev 135

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 135 jt_eaton
v 20100214 1
2
C 1500 300 1 0 0 in_port_vector.sym
3
{
4
T 1500 300 5 10 1 1 0 6 1 1
5
refdes=SW[1:0]
6
}
7
C 1500 700 1 0 0 in_port_vector.sym
8
{
9
T 1500 700 5 10 1 1 0 6 1 1
10
refdes=BTN[1:0]
11
}
12
C 1500 1100 1 0 0 in_port.sym
13
{
14
T 1500 1100 5 10 1 1 0 6 1 1
15
refdes=SYS_SPI_SCK
16
}
17
C 1500 1500 1 0 0 in_port.sym
18
{
19
T 1500 1500 5 10 1 1 0 6 1 1
20
refdes=SYS_SPI_MOSI
21
}
22
C 1500 1900 1 0 0 in_port.sym
23
{
24
T 1500 1900 5 10 1 1 0 6 1 1
25
refdes=RP_SPI_CE0N
26
}
27
C 1500 2300 1 0 0 in_port.sym
28
{
29
T 1500 2300 5 10 1 1 0 6 1 1
30
refdes=JTAG_TRESET_N
31
}
32
C 1500 2700 1 0 0 in_port.sym
33
{
34
T 1500 2700 5 10 1 1 0 6 1 1
35
refdes=JTAG_TMS
36
}
37
C 1500 3100 1 0 0 in_port.sym
38
{
39
T 1500 3100 5 10 1 1 0 6 1 1
40
refdes=JTAG_TDI
41
}
42
C 1500 3500 1 0 0 in_port.sym
43
{
44
T 1500 3500 5 10 1 1 0 6 1 1
45
refdes=JTAG_TCK
46
}
47
C 1500 3900 1 0 0 in_port.sym
48
{
49
T 1500 3900 5 10 1 1 0 6 1 1
50
refdes=A_CLK
51
}
52
C 4000 300  1 0  0 out_port_vector.sym
53
{
54
T 5000 300 5  10 1 1 0 0 1 1
55
refdes=PMOD3[7:0]
56
}
57
C 4000 700  1 0  0 out_port_vector.sym
58
{
59
T 5000 700 5  10 1 1 0 0 1 1
60
refdes=PMOD2[7:0]
61
}
62
C 4000 1100  1 0  0 out_port_vector.sym
63
{
64
T 5000 1100 5  10 1 1 0 0 1 1
65
refdes=LED[1:0]
66
}
67
C 4000 1500  1 0 0 out_port.sym
68
{
69
T 5000 1500 5  10 1 1 0 0 1 1
70
refdes=SYS_SPI_MISO
71
}
72
C 4000 1900  1 0 0 out_port.sym
73
{
74
T 5000 1900 5  10 1 1 0 0 1 1
75
refdes=JTAG_TDO
76
}
77
C 4000 2300  1 0  0 io_port_vector.sym
78
{
79
T 5000 2300 5  10 1 1 0 0 1 1
80
refdes=PMOD4[7:0]
81
}
82
C 4000 2700  1 0  0 io_port_vector.sym
83
{
84
T 5000 2700 5  10 1 1 0 0 1 1
85
refdes=PMOD1[7:0]
86
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.