OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [Projects/] [valentfx.com/] [fpgas/] [ip/] [logipi_T6502/] [pads/] [padring.csv] - Blame information for rev 135

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 135 jt_eaton
a_clk,a_clk,in,z,P85
2
led[0],led,out,0,P105
3
led[1],led,out,0,P104
4
btn[0],btn,in,z,P102
5
btn[1],btn,in,z,P101
6
sw[0],sw,in,z,P99
7
sw[1],sw,in,z,P100
8
pmod1[0],pmod1,inout,z,P5
9
pmod1[1],pmod1,inout,z,P2
10
pmod1[2],pmod1,inout,z,P100
11
pmod1[3],pmod1,inout,z,P16
12
pmod1[4],pmod1,inout,z,P88
13
pmod1[5],pmod1,inout,z,P92
14
pmod1[6],pmod1,inout,z,P93
15
pmod1[7],pmod1,inout,z,P94
16
pmod2[0],pmod2,inout,z,P142
17
pmod2[1],pmod2,out,z,P141
18
pmod2[2],pmod2,out,z,P15
19
pmod2[3],pmod2,out,z,P14
20
pmod2[4],pmod2,out,z,P144
21
pmod2[5],pmod2,out,z,P143
22
pmod2[6],pmod2,out,z,P140
23
pmod2[7],pmod2,out,z,P139
24
pmod3[0],pmod3,out,z,P138
25
pmod3[1],pmod3,out,z,P137
26
pmod3[2],pmod3,out,z,P124
27
pmod3[3],pmod3,out,z,P123
28
pmod3[4],pmod3,out,z,P119
29
pmod3[5],pmod3,out,z,P118
30
pmod3[6],pmod3,out,z,P117
31
pmod3[7],pmod3,out,z,P116
32
pmod4[0],pmod4,out,z,P112
33
pmod4[1],pmod4,out,z,P111
34
pmod4[2],pmod4,out,z,P132
35
pmod4[3],pmod4,out,z,P131
36
pmod4[4],pmod4,out,z,P115
37
pmod4[5],pmod4,out,z,P114
38
pmod4[6],pmod4,out,z,P134
39
pmod4[7],pmod4,out,z,P133
40
sys_spi_mosi,sys_spi_mosi,in,z,P80
41
sys_spi_miso,sys_spi_miso,out,0,P75
42
sys_spi_sck,sys_spi_sck,in,z,P78
43
rp_spi_ce0n,rp_spi_ce0n,in,z,P79
44
sys_sda,sys_sda,inout,z,P98
45
sys_scl,sys_scl,inout,z,P97
46
sys_tx,sys_tx,out,1,P83
47
sys_rx,sys_rx,in,z,P82
48
rp_gpio_gclk,rp_gpio_gclk,in,z,P95
49
rp_gpio_gen2,rp_gpio_gen2,in,z,P81
50
ard_sck,ard_sck,in,z,P84
51
ard_miso,ard_miso,in,z,P87
52
ard_mosi,ard_mosi,out,0,P51
53
ard_ss,ard_ss,in,z,P74
54
ard_d9_flsh_di,ard_d9_flsh_di,in,z,P64
55
ard_d8_flsh_cs,ard_d8_flsh_cs,in,z,P38

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.