OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [common/] [opencores.org/] [cde/] [ip/] [gates/] [rtl/] [xml/] [cde_gates_nand.xml] - Blame information for rev 135

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 135 jt_eaton
2
5
6
xmlns:ipxact="http://www.accellera.org/XMLSchema/IPXACT/1685-2014"
7
xmlns:socgen="http://opencores.org"
8
xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance"
9
xsi:schemaLocation="http://www.accellera.org/XMLSchema/IPXACT/1685-2014
10
http://www.accellera.org/XMLSchema/IPXACT/1685-2014/index.xsd">
11
 
12
opencores.org
13
cde
14
gates
15
nand
16
 
17
 
18
19
 
20
 
21
22
  gen_verilog_sim
23
  104.0
24
  none
25
  :*Simulation:*
26
  tools/verilog/gen_verilog
27
    
28
    
29
      destination
30
      gates_nand
31
    
32
  
33
34
 
35
 
36
37
  gen_verilog_syn
38
  104.0
39
  none
40
  :*Synthesis:*
41
  tools/verilog/gen_verilog
42
    
43
    
44
      destination
45
      gates_nand
46
    
47
  
48
49
 
50
 
51
 
52
 
53
54
  gen_verilogLib_sim
55
  105.0
56
  none
57
  :*Simulation:*
58
  tools/verilog/gen_verilogLib
59
    
60
    
61
      dest_dir
62
      ../views
63
    
64
    
65
      view
66
      sim
67
    
68
  
69
70
 
71
 
72
 
73
74
  gen_verilogLib_syn
75
  105.0
76
  none
77
  :*Synthesis:*
78
  tools/verilog/gen_verilogLib
79
    
80
    
81
      dest_dir
82
      ../views
83
    
84
    
85
      view
86
      syn
87
    
88
  
89
90
 
91
 
92
 
93
94
 
95
96
 
97
 
98
99
 
100
 
101
 
102
 
103
 
104
 
105
 
106
 
107
108
refdes
109
U?
110
300
111
900
112
5
113
10
114
1
115
1
116
2
117
118
 
119
120
module_name
121
cde_gates_nand
122
400
123
0
124
5
125
10
126
0
127
1
128
2
129
130
 
131
132
vendor
133
opencores.org
134
0
135
-200
136
0
137
10
138
0
139
0
140
0
141
142
 
143
144
library
145
cde
146
0
147
-300
148
0
149
10
150
0
151
0
152
0
153
154
 
155
 
156
157
component
158
gates
159
0
160
-400
161
0
162
10
163
0
164
0
165
0
166
167
 
168
 
169
 
170
171
version
172
nand
173
0
174
-500
175
0
176
10
177
0
178
0
179
0
180
181
 
182
 
183
 
184
 
185
 
186
 
187
 
188
 
189
190
 
191
192
cde_gates_nand
193
 
194
 
195
196
 geda-project.org
197
 symbols
198
 gates
199
 def
200
 and
201
 0
202
 100
203
204
 
205
 
206
 
207
 
208
209
 geda-project.org
210
 symbols
211
 pins
212
 def
213
 in_wire
214
 0
215
 200
216
 IN1
217
0
218
219
 
220
 
221
222
 geda-project.org
223
 symbols
224
 pins
225
 def
226
 in_wire
227
 0
228
 600
229
 IN0
230
0
231
232
 
233
 
234
 
235
236
 geda-project.org
237
 symbols
238
 pins
239
 def
240
 out_wire_n
241
 1000
242
 400
243
 OUT
244
0
245
246
 
247
 
248
249
 
250
 
251
 
252
 
253
 
254
255
 
256
demorgan
257
 
258
259
 geda-project.org
260
 symbols
261
 gates
262
 def
263
 or
264
 0
265
 100
266
267
 
268
 
269
 
270
271
 geda-project.org
272
 symbols
273
 pins
274
 def
275
 in_wire_n
276
 0
277
 200
278
 IN1
279
0
280
281
 
282
 
283
284
 geda-project.org
285
 symbols
286
 pins
287
 def
288
 in_wire_n
289
 0
290
 600
291
 IN0
292
0
293
294
 
295
 
296
 
297
298
 
299
 geda-project.org
300
 symbols
301
 pins
302
 def
303
 out_wire
304
 1000
305
 400
306
 OUT
307
0
308
309
 
310
311
 
312
 
313
 
314
 
315
 
316
 
317
 
318
 
319
 
320
321
 
322
 
323
324
325
 
326
 
327
 
328
 
329
 
330
 
331
332
 
333
   
334
      fs-sim
335
 
336
       
337
        ../verilog/nand
338
        verilogSourcefragment
339
      
340
 
341
 
342
      
343
        ../verilog/copyright
344
        verilogSourceinclude
345
      
346
 
347
 
348
      
349
        ../verilog/sim/gates_nand
350
        verilogSourcemodule
351
      
352
 
353
 
354
 
355
      
356
        dest_dir
357
        ../views/sim/
358
        verilogSourcelibraryDir
359
      
360
 
361
  
362
 
363
 
364
   
365
      fs-syn
366
 
367
 
368
       
369
        ../verilog/nand
370
        verilogSourcefragment
371
      
372
 
373
 
374
      
375
        ../verilog/copyright
376
        verilogSourceinclude
377
      
378
 
379
 
380
      
381
        ../verilog/sim/gates_nand
382
        verilogSourcemodule
383
      
384
 
385
 
386
 
387
 
388
      
389
        dest_dir
390
        ../views/syn/
391
        verilogSourcelibraryDir
392
      
393
 
394
 
395
 
396
   
397
 
398
 
399
    
400
 
401
      fs-lint
402
      
403
        dest_dir
404
        ../views/syn/
405
        verilogSourcelibraryDir
406
      
407
 
408
    
409
 
410
 
411
 
412
 
413
 
414
415
 
416
 
417
 
418
 
419
 
420
 
421
 
422
423
       
424
 
425
 
426
              
427
              sim:*Simulation:*
428
              Verilog
429
              
430
                     
431
                            fs-sim
432
                     
433
              
434
 
435
              
436
              syn:*Synthesis:*
437
              Verilog
438
              
439
                     
440
                            fs-syn
441
                     
442
              
443
 
444
 
445
            
446
              doc
447
              
448
              
449
                                   ipxact:library="Testbench"
450
                                   ipxact:name="toolflow"
451
                                   ipxact:version="documentation"/>
452
              
453
              :*Documentation:*
454
              Verilog
455
              
456
 
457
 
458
 
459
 
460
      
461
 
462
 
463
 
464
 
465
466
 
467
IN0
468
wire
469
in
470
471
 
472
IN1
473
wire
474
in
475
476
 
477
 
478
 
479
OUT
480
wire
481
out
482
483
 
484
 
485
 
486
 
487
 
488
489
 
490
491
 
492
 
493
 
494
 
495
 
496
 
497
 
498
 
499
 
500
 
501
 
502
 
503
 
504

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.