OpenCores
URL https://opencores.org/ocsvn/socgen/socgen/trunk

Subversion Repositories socgen

[/] [socgen/] [trunk/] [tools/] [yosys/] [cells.lib] - Blame information for rev 135

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 135 jt_eaton
library(yosys_cells) {
2
        cell(DFF_N) {
3
                ff(IQ, IQN) {
4
                        clocked_on: "!C";
5
                        next_state: "D";
6
                }
7
                pin(D) { direction: input; }
8
                pin(C) { direction: input; clock: true; }
9
                pin(Q) { direction: output; function: "IQ"; }
10
        }
11
        cell(DFF_P) {
12
                ff(IQ, IQN) {
13
                        clocked_on: "C";
14
                        next_state: "D";
15
                }
16
                pin(D) { direction: input; }
17
                pin(C) { direction: input; clock: true; }
18
                pin(Q) { direction: output; function: "IQ"; }
19
        }
20
        cell(DFF_NN0) {
21
                ff(IQ, IQN) {
22
                        clocked_on: "!C";
23
                        next_state: "D";
24
                        clear: "!R";
25
                }
26
                pin(D) { direction: input; }
27
                pin(R) { direction: input; }
28
                pin(C) { direction: input; clock: true; }
29
                pin(Q) { direction: output; function: "IQ"; }
30
        }
31
        cell(DFF_NN1) {
32
                ff(IQ, IQN) {
33
                        clocked_on: "!C";
34
                        next_state: "D";
35
                        preset: "!R";
36
                }
37
                pin(D) { direction: input; }
38
                pin(R) { direction: input; }
39
                pin(C) { direction: input; clock: true; }
40
                pin(Q) { direction: output; function: "IQ"; }
41
        }
42
        cell(DFF_NP0) {
43
                ff(IQ, IQN) {
44
                        clocked_on: "!C";
45
                        next_state: "D";
46
                        clear: "R";
47
                }
48
                pin(D) { direction: input; }
49
                pin(R) { direction: input; }
50
                pin(C) { direction: input; clock: true; }
51
                pin(Q) { direction: output; function: "IQ"; }
52
        }
53
        cell(DFF_NP1) {
54
                ff(IQ, IQN) {
55
                        clocked_on: "!C";
56
                        next_state: "D";
57
                        preset: "R";
58
                }
59
                pin(D) { direction: input; }
60
                pin(R) { direction: input; }
61
                pin(C) { direction: input; clock: true; }
62
                pin(Q) { direction: output; function: "IQ"; }
63
        }
64
        cell(DFF_PN0) {
65
                ff(IQ, IQN) {
66
                        clocked_on: "C";
67
                        next_state: "D";
68
                        clear: "!R";
69
                }
70
                pin(D) { direction: input; }
71
                pin(R) { direction: input; }
72
                pin(C) { direction: input; clock: true; }
73
                pin(Q) { direction: output; function: "IQ"; }
74
        }
75
        cell(DFF_PN1) {
76
                ff(IQ, IQN) {
77
                        clocked_on: "C";
78
                        next_state: "D";
79
                        preset: "!R";
80
                }
81
                pin(D) { direction: input; }
82
                pin(R) { direction: input; }
83
                pin(C) { direction: input; clock: true; }
84
                pin(Q) { direction: output; function: "IQ"; }
85
        }
86
        cell(DFF_PP0) {
87
                ff(IQ, IQN) {
88
                        clocked_on: "C";
89
                        next_state: "D";
90
                        clear: "R";
91
                }
92
                pin(D) { direction: input; }
93
                pin(R) { direction: input; }
94
                pin(C) { direction: input; clock: true; }
95
                pin(Q) { direction: output; function: "IQ"; }
96
        }
97
        cell(DFF_PP1) {
98
                ff(IQ, IQN) {
99
                        clocked_on: "C";
100
                        next_state: "D";
101
                        preset: "R";
102
                }
103
                pin(D) { direction: input; }
104
                pin(R) { direction: input; }
105
                pin(C) { direction: input; clock: true; }
106
                pin(Q) { direction: output; function: "IQ"; }
107
        }
108
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.