OpenCores
URL https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk

Subversion Repositories spacewire_light

[/] [spacewire_light/] [trunk/] [bench/] [vhdl/] [ahbram_loadfile.vhd] - Blame information for rev 9

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 jorisvr
--
2
-- AHB slave simulating random access memory.
3
-- Initial contents are loaded from an SREC file at the start of the simulation.
4
--
5
 
6
 
7
library ieee;
8
use ieee.std_logic_1164.all, ieee.numeric_std.all;
9
use std.textio.all;
10
library grlib;
11
use grlib.amba.all;
12
use grlib.devices.all;
13
use grlib.stdlib.all;
14
 
15
 
16
entity ahbram_loadfile is
17
 
18
    generic (
19
        hindex:     integer;
20
        haddr:      integer;
21
        hmask:      integer := 16#fff#;
22
        abits:      integer range 10 to 24;
23
        fname:      string );
24
 
25
    port (
26
        rstn:       in  std_logic;
27
        clk:        in  std_logic;
28
        ahbi:       in  ahb_slv_in_type;
29
        ahbo:       out ahb_slv_out_type );
30
 
31
end entity ahbram_loadfile;
32
 
33
architecture ahbram_arch of ahbram_loadfile is
34
 
35
    type mem_type is array(natural range <>) of std_logic_vector(31 downto 0);
36
    signal mem: mem_type(0 to (2**(abits-2)-1));
37
 
38
    signal s_load:  std_ulogic := '1';
39
    signal s_rdata: std_logic_vector(31 downto 0) := (others => '0');
40
    signal s_ready: std_ulogic := '0';
41
    signal s_write: std_ulogic := '0';
42
    signal s_waddr: std_logic_vector(31 downto 0) := (others => '0');
43
    signal s_wsize: std_logic_vector(2 downto 0)  := "000";
44
 
45
    constant hconfig : ahb_config_type := (
46
 
47
        4 => ahb_membar(haddr, '1', '1', hmask),
48
        others => zero32);
49
 
50
    function fromhex(s: string) return unsigned is
51
        variable v: unsigned(31 downto 0);
52
        variable t: unsigned(3 downto 0);
53
    begin
54
        v := to_unsigned(0, 32);
55
        for i in s'range loop
56
            case s(i) is
57
                when '0' => t := "0000";
58
                when '1' => t := "0001";
59
                when '2' => t := "0010";
60
                when '3' => t := "0011";
61
                when '4' => t := "0100";
62
                when '5' => t := "0101";
63
                when '6' => t := "0110";
64
                when '7' => t := "0111";
65
                when '8' => t := "1000";
66
                when '9' => t := "1001";
67
                when 'a' => t := "1010";
68
                when 'A' => t := "1010";
69
                when 'b' => t := "1011";
70
                when 'B' => t := "1011";
71
                when 'c' => t := "1100";
72
                when 'C' => t := "1100";
73
                when 'd' => t := "1101";
74
                when 'D' => t := "1101";
75
                when 'e' => t := "1110";
76
                when 'E' => t := "1110";
77
                when 'f' => t := "1111";
78
                when 'F' => t := "1111";
79
                when others => assert false report "invalid syntax in SREC file";
80
            end case;
81
            v := v(27 downto 0) & t;
82
        end loop;
83
        return v;
84
    end function;
85
 
86
begin
87
 
88
    ahbo.hready     <= s_ready;
89
    ahbo.hresp      <= HRESP_OKAY;
90
    ahbo.hrdata     <= s_rdata;
91
    ahbo.hsplit     <= (others => '0');
92
    ahbo.hcache     <= '1';
93
    ahbo.hirq       <= (others => '0');
94
    ahbo.hconfig    <= hconfig;
95
    ahbo.hindex     <= hindex;
96
 
97
    process (clk) is
98
 
99
        procedure loadfile is
100
            file fd: text open read_mode is fname;
101
            variable lin: line;
102
            variable c0, c1, c2, c3, c4, c5, c6, c7: character;
103
            variable n, t: integer;
104
            variable adr: unsigned(31 downto 0);
105
            variable dat: unsigned(31 downto 0);
106
        begin
107
            for i in mem'range loop
108
                mem(i) <= zero32;
109
            end loop;
110
            while not endfile(fd) loop
111
                readline(fd, lin);
112
                read(lin, c0);
113
                if c0 = 'S' then
114
                    read(lin, c0);
115
                    if c0 = '1' or c0 = '2' or c0 = '3' then
116
                        t := to_integer(fromhex(c0 & ""));
117
                        read(lin, c0);
118
                        read(lin, c1);
119
                        n := to_integer(fromhex((c0, c1))) - t - 2;
120
                        assert n >= 0 and (n rem 4) = 0 report "invalid record length in SREC file";
121
                        read(lin, c0);
122
                        read(lin, c1);
123
                        read(lin, c2);
124
                        read(lin, c3);
125
                        if t = 2 then
126
                            read(lin, c4);
127
                            read(lin, c5);
128
                            adr := fromhex((c0, c1, c2, c3, c4, c5));
129
                        elsif t = 3 then
130
                            read(lin, c4);
131
                            read(lin, c5);
132
                            read(lin, c6);
133
                            read(lin, c7);
134
                            adr := fromhex((c0, c1, c2, c3, c4, c5, c6, c7));
135
                        else
136
                            adr := fromhex((c0, c1, c2, c3));
137
                        end if;
138
                        assert adr(1 downto 0) = "00" report "invalid address in SREC file";
139
                        for i in 0 to (n-4) / 4 loop
140
                            read(lin, c0);
141
                            read(lin, c1);
142
                            read(lin, c2);
143
                            read(lin, c3);
144
                            read(lin, c4);
145
                            read(lin, c5);
146
                            read(lin, c6);
147
                            read(lin, c7);
148
                            dat := fromhex((c0, c1, c2, c3, c4, c5, c6, c7));
149
                            mem(to_integer(adr(abits-1 downto 2)) + i) <= std_logic_vector(dat);
150
                        end loop;
151
                    end if;
152
                end if;
153
            end loop;
154
            report "Loaded AHBRAM contents";
155
        end procedure;
156
 
157
        variable wa: integer;
158
    begin
159
        if s_load = '1' then
160
 
161
            -- Load RAM contents at start of simulation.
162
            s_load  <= '0';
163
            loadfile;
164
 
165
        elsif rising_edge(clk) then
166
 
167
            -- Clock tick.
168
 
169
            s_ready <= '1';
170
            s_rdata <= mem(to_integer(unsigned(ahbi.haddr(abits-1 downto 2))));
171
 
172
            if ahbi.hready = '1' then
173
                s_write <= ahbi.hsel(hindex) and ahbi.htrans(1) and ahbi.hwrite;
174
                s_waddr <= ahbi.haddr;
175
                s_wsize <= ahbi.hsize;
176
                s_ready <= not (s_ready and ahbi.hsel(hindex) and ahbi.htrans(1) and ahbi.hwrite);
177
            end if;
178
 
179
            wa := to_integer(unsigned(s_waddr(abits-1 downto 2)));
180
            if s_write = '1' and s_ready = '1' then
181
                case s_wsize is
182
                    when HSIZE_BYTE =>
183
                        case s_waddr(1 downto 0) is
184
                            when "00" =>
185
                                mem(wa)(31 downto 24) <= ahbi.hwdata(31 downto 24);
186
                            when "01" =>
187
                                mem(wa)(23 downto 16) <= ahbi.hwdata(23 downto 16);
188
                            when "10" =>
189
                                mem(wa)(15 downto 8)  <= ahbi.hwdata(15 downto 8);
190
                            when others =>
191
                                mem(wa)(7 downto 0)   <= ahbi.hwdata(7 downto 0);
192
                        end case;
193
                    when HSIZE_HWORD =>
194
                        if s_waddr(1) = '1' then
195
                            mem(wa)(15 downto 0)  <= ahbi.hwdata(15 downto 0);
196
                        else
197
                            mem(wa)(31 downto 16) <= ahbi.hwdata(31 downto 16);
198
                        end if;
199
                    when others =>
200
                        mem(wa) <= ahbi.hwdata;
201
                end case;
202
            end if;
203
 
204
            if rstn = '0' then
205
                s_ready <= '0';
206
                s_rdata <= (others => '0');
207
                s_write <= '0';
208
            end if;
209
 
210
        end if;
211
    end process;
212
 
213
-- pragma translate_off
214
    bootmsg : report_version
215
        generic map ( "ahbram_loadfile: 32-bit AHB RAM module, hindex=" & tost(hindex) & ", abits=" & tost(abits) & ", fname=" & fname);
216
-- pragma translate_on
217
 
218
end architecture ahbram_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.