OpenCores
URL https://opencores.org/ocsvn/spacewire_light/spacewire_light/trunk

Subversion Repositories spacewire_light

[/] [spacewire_light/] [trunk/] [bench/] [vhdl/] [streamtest_tb.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 jorisvr
--
2
-- Test bench for spwstream.
3
--
4
-- Tests:
5
--  * one spwstream instance with SpaceWire signals looped back to itself
6
--  * sending of bytes, packets and time codes through the SpaceWire link
7
--  * handling of link disabling and link disconnection
8
--
9
-- This test bench is intended to test the buffering logic in spwstream.
10
-- It does not thoroughly verify behaviour of the receiver, transmitter,
11
-- signal patterns etc. Please use spwlink_tb.vhd to test those aspects.
12
--
13
 
14
library ieee;
15
use ieee.std_logic_1164.all;
16
use ieee.numeric_std.all;
17
use std.textio.all;
18
use work.spwpkg.all;
19
 
20
entity streamtest_tb is
21
end entity;
22
 
23
architecture tb_arch of streamtest_tb is
24
 
25
    -- Parameters.
26
    constant sys_clock_freq: real   := 20.0e6;
27
 
28
    component streamtest is
29
        generic (
30
            sysfreq:    real;
31
            tickdiv:    integer range 12 to 24 := 20;
32
            rximpl:     spw_implementation_type := impl_generic;
33
            rxchunk:    integer range 1 to 4 := 1;
34
            tximpl:     spw_implementation_type := impl_generic;
35
            rxfifosize_bits: integer range 6 to 14 := 11;
36
            txfifosize_bits: integer range 2 to 14 := 11 );
37
        port (
38
            clk:        in  std_logic;
39
            rxclk:      in  std_logic;
40
            txclk:      in  std_logic;
41
            rst:        in  std_logic;
42
            linkstart:  in  std_logic;
43
            autostart:  in  std_logic;
44
            linkdisable: in std_logic;
45
            senddata:   in  std_logic;
46
            sendtick:   in  std_logic;
47
            txdivcnt:   in  std_logic_vector(7 downto 0);
48
            linkstarted: out std_logic;
49
            linkconnecting: out std_logic;
50
            linkrun:    out std_logic;
51
            linkerror:  out std_logic;
52
            gotdata:    out std_logic;
53
            dataerror:  out std_logic;
54
            tickerror:  out std_logic;
55
            spw_di:     in  std_logic;
56
            spw_si:     in  std_logic;
57
            spw_do:     out std_logic;
58
            spw_so:     out std_logic );
59
    end component;
60
 
61
    signal sys_clock_enable: std_logic := '0';
62
    signal sysclk:      std_logic := '0';
63
    signal s_loopback:  std_logic := '0';
64
    signal s_nreceived: integer := 0;
65
 
66
    signal s_rst:       std_logic := '1';
67
    signal s_linkstart: std_logic;
68
    signal s_autostart: std_logic;
69
    signal s_linkdisable: std_logic;
70
    signal s_divcnt:    std_logic_vector(7 downto 0);
71
    signal s_linkrun:   std_logic;
72
    signal s_linkerror: std_logic;
73
    signal s_gotdata:   std_logic;
74
    signal s_dataerror: std_logic;
75
    signal s_tickerror: std_logic;
76
    signal s_spwdi:     std_logic;
77
    signal s_spwsi:     std_logic;
78
    signal s_spwdo:     std_logic;
79
    signal s_spwso:     std_logic;
80
 
81
begin
82
 
83
    -- streamtest instance
84
    streamtest_inst: streamtest
85
        generic map (
86
            sysfreq     => sys_clock_freq,
87
            tickdiv     => 16,
88
            rximpl      => impl_generic,
89
            rxchunk     => 1,
90
            tximpl      => impl_generic,
91
            rxfifosize_bits => 9,
92
            txfifosize_bits => 8 )
93
        port map (
94
            clk         => sysclk,
95
            rxclk       => sysclk,
96
            txclk       => sysclk,
97
            rst         => s_rst,
98
            linkstart   => s_linkstart,
99
            autostart   => s_autostart,
100
            linkdisable => s_linkdisable,
101
            senddata    => '1',
102
            sendtick    => '1',
103
            txdivcnt    => s_divcnt,
104
            linkstarted => open,
105
            linkconnecting => open,
106
            linkrun     => s_linkrun,
107
            linkerror   => s_linkerror,
108
            gotdata     => s_gotdata,
109
            dataerror   => s_dataerror,
110
            tickerror   => s_tickerror,
111
            spw_di      => s_spwdi,
112
            spw_si      => s_spwsi,
113
            spw_do      => s_spwdo,
114
            spw_so      => s_spwso );
115
 
116
    -- Conditional loopback of SpaceWire signals.
117
    s_spwdi <= s_spwdo when (s_loopback = '1') else '0';
118
    s_spwsi <= s_spwso when (s_loopback = '1') else '0';
119
 
120
    -- Generate system clock.
121
    process is
122
    begin
123
        if sys_clock_enable /= '1' then
124
            wait until sys_clock_enable = '1';
125
        end if;
126
        sysclk  <= '1';
127
        wait for (0.5 sec) / sys_clock_freq;
128
        sysclk  <= '0';
129
        wait for (0.5 sec) / sys_clock_freq;
130
    end process;
131
 
132
    -- Verify that error indications remain off.
133
    process is
134
    begin
135
        wait on s_linkerror, s_dataerror, s_tickerror;
136
        assert s_dataerror = '0' report "Detected data error";
137
        assert s_tickerror = '0' report "Detected time code error";
138
        if s_loopback = '1' then
139
            assert s_linkerror /= '1' report "Unexpected link error";
140
        end if;
141
    end process;
142
 
143
    -- Verify that data is received regularly when the link is up.
144
    process is
145
    begin
146
        if s_linkrun = '0' or s_gotdata = '1' then
147
            wait until s_linkrun = '1' and s_gotdata = '0';
148
        end if;
149
        wait until s_gotdata = '1' or s_linkrun = '0' for 3 ms;
150
        if s_linkrun = '1' then
151
            assert s_gotdata = '1' report "Link running but no data received";
152
        end if;
153
    end process;
154
 
155
    -- Count number of received characters.
156
    process is
157
    begin
158
        wait until rising_edge(sysclk);
159
        if s_gotdata = '1' then
160
            s_nreceived <= s_nreceived + 1;
161
        end if;
162
    end process;
163
 
164
    -- Main process.
165
    process is
166
        variable vline: LINE;
167
    begin
168
        report "Starting streamtest test bench";
169
 
170
        -- Initialize.
171
        s_loopback  <= '1';
172
        s_rst       <= '1';
173
        s_linkstart <= '0';
174
        s_autostart <= '0';
175
        s_linkdisable <= '0';
176
        s_divcnt    <= "00000001";
177
        sys_clock_enable <= '1';
178
        wait for 1 us;
179
 
180
        -- Test link and data transmission.
181
        report "Testing txdivcnt = 1";
182
        s_rst       <= '0';
183
        s_linkstart <= '1';
184
        wait for 100 us;
185
        assert s_linkrun = '1' report "Link failed to start";
186
        wait for 50 ms;
187
 
188
        -- Check number of received characters.
189
        write(vline, string'("Received "));
190
        write(vline, s_nreceived);
191
        write(vline, string'(" characters in 50 ms."));
192
        writeline(output, vline);
193
        assert s_nreceived > 24000 report "Too few characters received";
194
 
195
        -- Test switching to different transmission rate.
196
        report "Testing txdivcnt = 2";
197
        s_divcnt    <= "00000010";
198
        wait for 10 ms;
199
        report "Testing txdivcnt = 3";
200
        s_divcnt    <= "00000011";
201
        wait for 10 ms;
202
 
203
        -- Disable and re-enable link.
204
        report "Testing link disable/re-enable";
205
        s_linkdisable <= '1';
206
        s_divcnt    <= "00000001";
207
        wait for 2 ms;
208
        s_linkdisable <= '0';
209
        wait for 100 us;
210
        assert s_linkrun = '1' report "Link failed to start after re-enable";
211
        wait for 10 ms;
212
 
213
        -- Cut and reconnect loopback wiring.
214
        report "Testing physical disconnect/reconnect";
215
        s_loopback  <= '0';
216
        wait for 2 ms;
217
        s_loopback  <= '1';
218
        wait for 100 us;
219
        assert s_linkrun = '1' report "Link failed to start after reconnect";
220
        wait for 10 ms;
221
        s_loopback  <= '0';
222
        wait for 2 ms;
223
        s_loopback  <= '1';
224
        wait for 100 us;
225
        assert s_linkrun = '1' report "Link failed to start after reconnect (2)";
226
        wait for 10 ms;
227
 
228
        -- Shut down.
229
        s_rst   <= '1';
230
        wait for 1 us;
231
        sys_clock_enable <= '0';
232
 
233
        write(vline, string'("Received "));
234
        write(vline, s_nreceived);
235
        write(vline, string'(" characters."));
236
        writeline(output, vline);
237
 
238
        report "Done";
239
        wait;
240
    end process;
241
 
242
end architecture tb_arch;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.