OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [db/] [ddio_out_uqe.tdf] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 redbear
--altddio_out CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone V" INVERT_OUTPUT="OFF" POWER_UP_HIGH="OFF" WIDTH=1 datain_h datain_l dataout outclock
2 40 redbear
--VERSION_BEGIN 17.1 cbx_altddio_out 2017:12:05:11:11:27:SJ cbx_cycloneii 2017:12:05:11:11:27:SJ cbx_maxii 2017:12:05:11:11:27:SJ cbx_mgl 2017:12:05:12:41:31:SJ cbx_stratix 2017:12:05:11:11:27:SJ cbx_stratixii 2017:12:05:11:11:27:SJ cbx_stratixiii 2017:12:05:11:11:27:SJ cbx_stratixv 2017:12:05:11:11:27:SJ cbx_util_mgl 2017:12:05:11:11:27:SJ  VERSION_END
3 32 redbear
 
4
 
5
-- Copyright (C) 2017  Intel Corporation. All rights reserved.
6
--  Your use of Intel Corporation's design tools, logic functions
7
--  and other software and tools, and its AMPP partner logic
8
--  functions, and any output files from any of the foregoing
9
--  (including device programming or simulation files), and any
10
--  associated documentation or information are expressly subject
11
--  to the terms and conditions of the Intel Program License
12
--  Subscription Agreement, the Intel Quartus Prime License Agreement,
13 40 redbear
--  the Intel FPGA IP License Agreement, or other applicable license
14
--  agreement, including, without limitation, that your use is for
15
--  the sole purpose of programming logic devices manufactured by
16
--  Intel and sold by Intel or its authorized distributors.  Please
17
--  refer to the applicable agreement for further details.
18 32 redbear
 
19
 
20
FUNCTION cyclonev_ddio_out (areset, clk, clkhi, clklo, datainhi, datainlo, ena, hrbypass, muxsel, sreset)
21
WITH ( async_mode, half_rate_mode, power_up, sync_mode, use_new_clocking_model)
22
RETURNS ( dataout);
23
 
24
--synthesis_resources = IO 1
25
OPTIONS ALTERA_INTERNAL_OPTION = "ANALYZE_METASTABILITY=OFF;ADV_NETLIST_OPT_ALLOWED=DEFAULT";
26
 
27
SUBDESIGN ddio_out_uqe
28
(
29
        datain_h[0..0]  :       input;
30
        datain_l[0..0]  :       input;
31
        dataout[0..0]   :       output;
32
        outclock        :       input;
33
)
34
VARIABLE
35
        ddio_outa[0..0] : cyclonev_ddio_out
36
                WITH (
37
                        async_mode = "none",
38
                        power_up = "low",
39
                        sync_mode = "none",
40
                        use_new_clocking_model = "true"
41
                );
42
 
43
BEGIN
44
        ddio_outa[].clkhi = outclock;
45
        ddio_outa[].clklo = outclock;
46
        ddio_outa[].datainhi = datain_h[];
47
        ddio_outa[].datainlo = datain_l[];
48
        ddio_outa[].muxsel = outclock;
49
        dataout[] = ddio_outa[].dataout;
50
END;
51
--VALID FILE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.