OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [db/] [ddio_out_uqe.tdf] - Blame information for rev 32

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 redbear
--altddio_out CBX_DECLARE_ALL_CONNECTED_PORTS="OFF" DEVICE_FAMILY="Cyclone V" INVERT_OUTPUT="OFF" POWER_UP_HIGH="OFF" WIDTH=1 datain_h datain_l dataout outclock
2
--VERSION_BEGIN 17.0 cbx_altddio_out 2017:06:01:09:22:16:SJ cbx_cycloneii 2017:06:01:09:22:16:SJ cbx_maxii 2017:06:01:09:22:16:SJ cbx_mgl 2017:06:01:10:52:00:SJ cbx_stratix 2017:06:01:09:22:16:SJ cbx_stratixii 2017:06:01:09:22:16:SJ cbx_stratixiii 2017:06:01:09:22:16:SJ cbx_stratixv 2017:06:01:09:22:16:SJ cbx_util_mgl 2017:06:01:09:22:16:SJ  VERSION_END
3
 
4
 
5
-- Copyright (C) 2017  Intel Corporation. All rights reserved.
6
--  Your use of Intel Corporation's design tools, logic functions
7
--  and other software and tools, and its AMPP partner logic
8
--  functions, and any output files from any of the foregoing
9
--  (including device programming or simulation files), and any
10
--  associated documentation or information are expressly subject
11
--  to the terms and conditions of the Intel Program License
12
--  Subscription Agreement, the Intel Quartus Prime License Agreement,
13
--  the Intel MegaCore Function License Agreement, or other
14
--  applicable license agreement, including, without limitation,
15
--  that your use is for the sole purpose of programming logic
16
--  devices manufactured by Intel and sold by Intel or its
17
--  authorized distributors.  Please refer to the applicable
18
--  agreement for further details.
19
 
20
 
21
FUNCTION cyclonev_ddio_out (areset, clk, clkhi, clklo, datainhi, datainlo, ena, hrbypass, muxsel, sreset)
22
WITH ( async_mode, half_rate_mode, power_up, sync_mode, use_new_clocking_model)
23
RETURNS ( dataout);
24
 
25
--synthesis_resources = IO 1
26
OPTIONS ALTERA_INTERNAL_OPTION = "ANALYZE_METASTABILITY=OFF;ADV_NETLIST_OPT_ALLOWED=DEFAULT";
27
 
28
SUBDESIGN ddio_out_uqe
29
(
30
        datain_h[0..0]  :       input;
31
        datain_l[0..0]  :       input;
32
        dataout[0..0]   :       output;
33
        outclock        :       input;
34
)
35
VARIABLE
36
        ddio_outa[0..0] : cyclonev_ddio_out
37
                WITH (
38
                        async_mode = "none",
39
                        power_up = "low",
40
                        sync_mode = "none",
41
                        use_new_clocking_model = "true"
42
                );
43
 
44
BEGIN
45
        ddio_outa[].clkhi = outclock;
46
        ddio_outa[].clklo = outclock;
47
        ddio_outa[].datainhi = datain_h[];
48
        ddio_outa[].datainlo = datain_l[];
49
        ddio_outa[].muxsel = outclock;
50
        dataout[] = ddio_outa[].dataout;
51
END;
52
--VALID FILE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.