OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [spw_fifo_ulight.qsf] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 redbear
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 2017  Intel Corporation. All rights reserved.
4
# Your use of Intel Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Intel Program License
10
# Subscription Agreement, the Intel Quartus Prime License Agreement,
11
# the Intel MegaCore Function License Agreement, or other
12
# applicable license agreement, including, without limitation,
13
# that your use is for the sole purpose of programming logic
14
# devices manufactured by Intel and sold by Intel or its
15
# authorized distributors.  Please refer to the applicable
16
# agreement for further details.
17
#
18
# -------------------------------------------------------------------------- #
19
#
20
# Quartus Prime
21
# Version 17.0.1 Build 598 06/07/2017 SJ Lite Edition
22
# Date created = 11:59:04  August 14, 2017
23
#
24
# -------------------------------------------------------------------------- #
25
#
26
# Notes:
27
#
28
# 1) The default values for assignments are stored in the file:
29
#               spw_fifo_ulight_assignment_defaults.qdf
30
#    If this file doesn't exist, see file:
31
#               assignment_defaults.qdf
32
#
33
# 2) Altera recommends that you do not modify this file. This
34
#    file is updated automatically by the Quartus Prime software
35
#    and any changes you make may be lost or overwritten.
36
#
37
# -------------------------------------------------------------------------- #
38
 
39
 
40
set_global_assignment -name FAMILY "Cyclone V"
41
set_global_assignment -name DEVICE 5CSEMA4U23C6
42
set_global_assignment -name TOP_LEVEL_ENTITY SPW_ULIGHT_FIFO
43
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 17.0.1
44
set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:59:04  AUGUST 14, 2017"
45 40 redbear
set_global_assignment -name LAST_QUARTUS_VERSION "17.1.1 Lite Edition"
46 32 redbear
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
47
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
50 40 redbear
set_global_assignment -name EDA_SIMULATION_TOOL ""
51 32 redbear
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
52 40 redbear
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT NONE -section_id eda_simulation
53 32 redbear
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
54
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
55
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
56
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
57
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
58
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK1_50
59
set_location_assignment PIN_Y13 -to FPGA_CLK1_50
60
set_location_assignment PIN_AH16 -to KEY[1]
61
set_location_assignment PIN_AH17 -to KEY[0]
62
set_location_assignment PIN_AA23 -to LED[7]
63
set_location_assignment PIN_Y16 -to LED[6]
64
set_location_assignment PIN_AE26 -to LED[5]
65
set_location_assignment PIN_AF26 -to LED[4]
66
set_location_assignment PIN_V15 -to LED[3]
67
set_location_assignment PIN_V16 -to LED[2]
68
set_location_assignment PIN_AA24 -to LED[1]
69
set_location_assignment PIN_W15 -to LED[0]
70
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
71
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
72
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY
73
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7]
74
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6]
75
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5]
76
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4]
77
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3]
78
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1]
79
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2]
80
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[0]
81
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED
82
set_global_assignment -name STATE_MACHINE_PROCESSING "ONE-HOT"
83 40 redbear
set_global_assignment -name OPTIMIZATION_TECHNIQUE BALANCED
84 32 redbear
set_instance_assignment -name IO_STANDARD LVDS -to din_a
85
set_instance_assignment -name IO_STANDARD LVDS -to dout_a
86
set_instance_assignment -name IO_STANDARD LVDS -to sin_a
87
set_instance_assignment -name IO_STANDARD LVDS -to sout_a
88
set_location_assignment PIN_Y15 -to din_a
89
set_location_assignment PIN_AA15 -to "din_a(n)"
90
set_location_assignment PIN_AG28 -to dout_a
91
set_location_assignment PIN_AH27 -to "dout_a(n)"
92
set_location_assignment PIN_AE20 -to sin_a
93
set_location_assignment PIN_AD20 -to "sin_a(n)"
94
set_location_assignment PIN_AF20 -to sout_a
95
set_location_assignment PIN_AG20 -to "sout_a(n)"
96
set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE OFF
97
set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES OFF
98
set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC OFF
99
set_global_assignment -name REMOVE_DUPLICATE_REGISTERS OFF
100 40 redbear
set_global_assignment -name PRE_MAPPING_RESYNTHESIS OFF
101
set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION OFF
102
set_global_assignment -name MUX_RESTRUCTURE OFF
103
set_global_assignment -name SAFE_STATE_MACHINE ON
104
set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION ON
105
set_global_assignment -name AUTO_ROM_RECOGNITION OFF
106
set_global_assignment -name AUTO_RAM_RECOGNITION OFF
107
set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL OFF
108
set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES OFF
109
set_global_assignment -name OPTIMIZATION_MODE "HIGH PERFORMANCE EFFORT"
110
set_global_assignment -name ALLOW_REGISTER_MERGING OFF
111
set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING "PACK ALL IO REGISTERS"
112
set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS ON
113
set_global_assignment -name ALM_REGISTER_PACKING_EFFORT HIGH
114
set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 4.0
115
set_global_assignment -name ALLOW_REGISTER_DUPLICATION OFF
116
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT EXTRA
117
set_global_assignment -name AUTO_GLOBAL_CLOCK ON
118
set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS OFF
119
set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS ON
120
set_global_assignment -name SEED 1
121
set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING ON
122
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA ON
123
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION OFF
124
set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC ON
125
set_global_assignment -name PERIPHERY_TO_CORE_PLACEMENT_AND_ROUTING_OPTIMIZATION OFF
126
set_global_assignment -name FITTER_EFFORT "AUTO FIT"
127
set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION OFF
128
set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION ON
129
set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT ON
130
set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF
131
set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "EXTRA EFFORT"
132
set_global_assignment -name SYNCHRONIZER_IDENTIFICATION AUTO
133
set_global_assignment -name OPTIMIZE_SSN OFF
134
set_global_assignment -name ROUTER_REGISTER_DUPLICATION OFF
135
set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL MAXIMUM
136
set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION OFF
137
set_global_assignment -name HDL_MESSAGE_LEVEL LEVEL2
138
set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70
139
set_global_assignment -name AUTO_CARRY_CHAINS ON
140
set_global_assignment -name AUTO_DSP_RECOGNITION OFF
141
set_global_assignment -name SYNTH_MESSAGE_LEVEL MEDIUM
142
set_global_assignment -name STRICT_RAM_RECOGNITION OFF
143
set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM OFF
144
set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS OFF
145
set_global_assignment -name QII_AUTO_PACKED_REGISTERS AUTO
146
set_global_assignment -name OPTIMIZE_HOLD_TIMING "ALL PATHS"
147
set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION OFF
148
set_global_assignment -name EDA_DESIGN_ENTRY_SYNTHESIS_TOOL ""
149
set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY OFF -section_id eda_design_synthesis
150
set_global_assignment -name EDA_INPUT_DATA_FORMAT EDIF -section_id eda_design_synthesis
151
set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY OFF -section_id eda_simulation
152
set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "Stamp (Timing)"
153
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT STAMP -section_id eda_board_design_timing
154
set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL ""
155
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT NONE -section_id eda_board_design_symbol
156
set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "HSPICE (Signal Integrity)"
157
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT HSPICE -section_id eda_board_design_signal_integrity
158
set_global_assignment -name ENABLE_SIGNALTAP OFF
159
set_global_assignment -name USE_SIGNALTAP_FILE output_files/stp2.stp
160
set_global_assignment -name ALLOW_REGISTER_RETIMING ON
161
set_global_assignment -name OPTIMIZE_FOR_METASTABILITY OFF
162
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/tx_fsm_m.v
163
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/tx_fct_send.v
164
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/tx_fct_counter.v
165
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/tx_data_send.v
166
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/rx_data_receive.v
167
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/rx_data_control_p.v
168
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/rx_data_buffer_data_w.v
169
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/rx_control_data_rdy.v
170
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/rx_buffer_fsm.v
171
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/mem_data.v
172
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/counter_neg.v
173
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/bitc_capture_control.v
174
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/bit_capture_data.v
175
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/tx_spw.v
176 32 redbear
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/top_spw_ultra_light.v
177
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/spw_ulight_con_top_x.v
178
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/rx_spw.v
179
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/fsm_spw.v
180
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/fifo_tx.v
181
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/fifo_rx.v
182 40 redbear
set_global_assignment -name VERILOG_FILE ../../rtl/DEBUG_VERILOG/debounce.v
183
set_global_assignment -name VERILOG_FILE ../../rtl/DEBUG_VERILOG/detector_tokens.v
184
set_global_assignment -name VERILOG_FILE ../../rtl/DEBUG_VERILOG/clock_reduce.v
185 32 redbear
set_global_assignment -name SDC_FILE sdc/spw_fifo_ulight.out.sdc
186
set_global_assignment -name QIP_FILE ulight_fifo/synthesis/ulight_fifo.qip
187
set_global_assignment -name VERILOG_FILE top_rtl/spw_fifo_ulight.v
188 40 redbear
set_global_assignment -name SIGNALTAP_FILE output_files/stp2.stp
189 32 redbear
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.