OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [spw_fifo_ulight.qsf] - Blame information for rev 35

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 redbear
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 2017  Intel Corporation. All rights reserved.
4
# Your use of Intel Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Intel Program License
10
# Subscription Agreement, the Intel Quartus Prime License Agreement,
11
# the Intel MegaCore Function License Agreement, or other
12
# applicable license agreement, including, without limitation,
13
# that your use is for the sole purpose of programming logic
14
# devices manufactured by Intel and sold by Intel or its
15
# authorized distributors.  Please refer to the applicable
16
# agreement for further details.
17
#
18
# -------------------------------------------------------------------------- #
19
#
20
# Quartus Prime
21
# Version 17.0.1 Build 598 06/07/2017 SJ Lite Edition
22
# Date created = 11:59:04  August 14, 2017
23
#
24
# -------------------------------------------------------------------------- #
25
#
26
# Notes:
27
#
28
# 1) The default values for assignments are stored in the file:
29
#               spw_fifo_ulight_assignment_defaults.qdf
30
#    If this file doesn't exist, see file:
31
#               assignment_defaults.qdf
32
#
33
# 2) Altera recommends that you do not modify this file. This
34
#    file is updated automatically by the Quartus Prime software
35
#    and any changes you make may be lost or overwritten.
36
#
37
# -------------------------------------------------------------------------- #
38
 
39
 
40
set_global_assignment -name FAMILY "Cyclone V"
41
set_global_assignment -name DEVICE 5CSEMA4U23C6
42
set_global_assignment -name TOP_LEVEL_ENTITY SPW_ULIGHT_FIFO
43
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 17.0.1
44
set_global_assignment -name PROJECT_CREATION_TIME_DATE "11:59:04  AUGUST 14, 2017"
45
set_global_assignment -name LAST_QUARTUS_VERSION "17.0.1 Lite Edition"
46
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
47
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
50
set_global_assignment -name EDA_SIMULATION_TOOL "ModelSim-Altera (Verilog)"
51
set_global_assignment -name EDA_TIME_SCALE "1 ps" -section_id eda_simulation
52
set_global_assignment -name EDA_OUTPUT_DATA_FORMAT "VERILOG HDL" -section_id eda_simulation
53
set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW"
54
set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
55
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
56
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
57
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
58
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FPGA_CLK1_50
59
set_location_assignment PIN_Y13 -to FPGA_CLK1_50
60
set_location_assignment PIN_AH16 -to KEY[1]
61
set_location_assignment PIN_AH17 -to KEY[0]
62
set_location_assignment PIN_AA23 -to LED[7]
63
set_location_assignment PIN_Y16 -to LED[6]
64
set_location_assignment PIN_AE26 -to LED[5]
65
set_location_assignment PIN_AF26 -to LED[4]
66
set_location_assignment PIN_V15 -to LED[3]
67
set_location_assignment PIN_V16 -to LED[2]
68
set_location_assignment PIN_AA24 -to LED[1]
69
set_location_assignment PIN_W15 -to LED[0]
70
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1]
71
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0]
72
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY
73
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[7]
74
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[6]
75
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[5]
76
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[4]
77
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[3]
78
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[1]
79
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[2]
80
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED[0]
81
set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LED
82
set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL MAXIMUM
83 35 redbear
set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 90.0
84 32 redbear
set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "EXTRA EFFORT"
85
set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING OFF
86
set_global_assignment -name ROUTER_REGISTER_DUPLICATION OFF
87
set_global_assignment -name AUTO_GLOBAL_CLOCK ON
88
set_global_assignment -name ALLOW_REGISTER_MERGING OFF
89
set_global_assignment -name ALLOW_REGISTER_DUPLICATION OFF
90
set_global_assignment -name AUTO_DELAY_CHAINS OFF
91
set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT EXTRA
92
set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION OFF
93
set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS ON
94
set_global_assignment -name STATE_MACHINE_PROCESSING "ONE-HOT"
95
set_global_assignment -name OPTIMIZATION_TECHNIQUE SPEED
96
set_instance_assignment -name IO_STANDARD LVDS -to din_a
97
set_instance_assignment -name IO_STANDARD LVDS -to dout_a
98
set_instance_assignment -name IO_STANDARD LVDS -to sin_a
99
set_instance_assignment -name IO_STANDARD LVDS -to sout_a
100
set_location_assignment PIN_Y15 -to din_a
101
set_location_assignment PIN_AA15 -to "din_a(n)"
102
set_location_assignment PIN_AG28 -to dout_a
103
set_location_assignment PIN_AH27 -to "dout_a(n)"
104
set_location_assignment PIN_AE20 -to sin_a
105
set_location_assignment PIN_AD20 -to "sin_a(n)"
106
set_location_assignment PIN_AF20 -to sout_a
107
set_location_assignment PIN_AG20 -to "sout_a(n)"
108
set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE OFF
109
set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY OFF
110
set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING ON
111
set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES OFF
112
set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC OFF
113
set_global_assignment -name REMOVE_DUPLICATE_REGISTERS OFF
114
set_global_assignment -name VERILOG_FILE ../../rtl/DEBUG_VERILOG/detector_tokens.v
115
set_global_assignment -name VERILOG_FILE ../../rtl/DEBUG_VERILOG/debounce.v
116
set_global_assignment -name VERILOG_FILE ../../rtl/DEBUG_VERILOG/clock_reduce.v
117
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/top_spw_ultra_light.v
118
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/spw_ulight_con_top_x.v
119
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/rx_spw.v
120
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/fsm_spw.v
121
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/fifo_tx.v
122
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/fifo_rx.v
123
set_global_assignment -name VERILOG_FILE ../../rtl/RTL_VB/tx_spw.v
124
set_global_assignment -name SDC_FILE sdc/spw_fifo_ulight.out.sdc
125
set_global_assignment -name QIP_FILE ulight_fifo/synthesis/ulight_fifo.qip
126
set_global_assignment -name VERILOG_FILE top_rtl/spw_fifo_ulight.v
127 35 redbear
set_global_assignment -name SEED 893763639
128 32 redbear
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.