OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [synthesis/] [submodules/] [hps_sdram_p0_clock_pair_generator.v] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 redbear
//altiobuf_out CBX_AUTO_BLACKBOX="ALL" CBX_SINGLE_OUTPUT_FILE="ON" DEVICE_FAMILY="Cyclone V" ENABLE_BUS_HOLD="FALSE" NUMBER_OF_CHANNELS=1 OPEN_DRAIN_OUTPUT="FALSE" PSEUDO_DIFFERENTIAL_MODE="TRUE" USE_DIFFERENTIAL_MODE="TRUE" USE_OE="FALSE" USE_OUT_DYNAMIC_DELAY_CHAIN1="FALSE" USE_OUT_DYNAMIC_DELAY_CHAIN2="FALSE" USE_TERMINATION_CONTROL="FALSE" datain dataout dataout_b
2 40 redbear
//VERSION_BEGIN 17.1 cbx_altiobuf_out 2017:12:05:11:11:27:SJ cbx_mgl 2017:12:05:12:41:31:SJ cbx_stratixiii 2017:12:05:11:11:27:SJ cbx_stratixv 2017:12:05:11:11:27:SJ  VERSION_END
3 32 redbear
// synthesis VERILOG_INPUT_VERSION VERILOG_2001
4
// altera message_off 10463
5
 
6
 
7
 
8
// Copyright (C) 2017  Intel Corporation. All rights reserved.
9
//  Your use of Intel Corporation's design tools, logic functions 
10
//  and other software and tools, and its AMPP partner logic 
11
//  functions, and any output files from any of the foregoing 
12
//  (including device programming or simulation files), and any 
13
//  associated documentation or information are expressly subject 
14
//  to the terms and conditions of the Intel Program License 
15
//  Subscription Agreement, the Intel Quartus Prime License Agreement,
16 40 redbear
//  the Intel FPGA IP License Agreement, or other applicable license
17
//  agreement, including, without limitation, that your use is for
18
//  the sole purpose of programming logic devices manufactured by
19
//  Intel and sold by Intel or its authorized distributors.  Please
20
//  refer to the applicable agreement for further details.
21 32 redbear
 
22
 
23
 
24
//synthesis_resources = cyclonev_io_obuf 2 cyclonev_pseudo_diff_out 1 
25
//synopsys translate_off
26
`timescale 1 ps / 1 ps
27
//synopsys translate_on
28
module  hps_sdram_p0_clock_pair_generator
29
        (
30
        datain,
31
        dataout,
32
        dataout_b) /* synthesis synthesis_clearbox=1 */;
33
        input   [0:0]  datain;
34
        output   [0:0]  dataout;
35
        output   [0:0]  dataout_b;
36
 
37
        wire  [0:0]   wire_obuf_ba_o;
38
        wire  [0:0]   wire_obuf_ba_oe;
39
        wire  [0:0]   wire_obufa_o;
40
        wire  [0:0]   wire_obufa_oe;
41
        wire  [0:0]   wire_pseudo_diffa_o;
42
        wire  [0:0]   wire_pseudo_diffa_obar;
43
        wire  [0:0]   wire_pseudo_diffa_oebout;
44
        wire  [0:0]   wire_pseudo_diffa_oein;
45
        wire  [0:0]   wire_pseudo_diffa_oeout;
46
        wire  [0:0]  oe_w;
47
 
48
        cyclonev_io_obuf   obuf_ba_0
49
        (
50
        .i(wire_pseudo_diffa_obar),
51
        .o(wire_obuf_ba_o[0:0]),
52
        .obar(),
53
        .oe(wire_obuf_ba_oe[0:0])
54
        `ifndef FORMAL_VERIFICATION
55
        // synopsys translate_off
56
        `endif
57
        ,
58
        .dynamicterminationcontrol(1'b0),
59
        .parallelterminationcontrol({16{1'b0}}),
60
        .seriesterminationcontrol({16{1'b0}})
61
        `ifndef FORMAL_VERIFICATION
62
        // synopsys translate_on
63
        `endif
64
        // synopsys translate_off
65
        ,
66
        .devoe(1'b1)
67
        // synopsys translate_on
68
        );
69
        defparam
70
                obuf_ba_0.bus_hold = "false",
71
                obuf_ba_0.open_drain_output = "false",
72
                obuf_ba_0.lpm_type = "cyclonev_io_obuf";
73
        assign
74
                wire_obuf_ba_oe = {(~ wire_pseudo_diffa_oebout[0])};
75
        cyclonev_io_obuf   obufa_0
76
        (
77
        .i(wire_pseudo_diffa_o),
78
        .o(wire_obufa_o[0:0]),
79
        .obar(),
80
        .oe(wire_obufa_oe[0:0])
81
        `ifndef FORMAL_VERIFICATION
82
        // synopsys translate_off
83
        `endif
84
        ,
85
        .dynamicterminationcontrol(1'b0),
86
        .parallelterminationcontrol({16{1'b0}}),
87
        .seriesterminationcontrol({16{1'b0}})
88
        `ifndef FORMAL_VERIFICATION
89
        // synopsys translate_on
90
        `endif
91
        // synopsys translate_off
92
        ,
93
        .devoe(1'b1)
94
        // synopsys translate_on
95
        );
96
        defparam
97
                obufa_0.bus_hold = "false",
98
                obufa_0.open_drain_output = "false",
99
                obufa_0.lpm_type = "cyclonev_io_obuf";
100
        assign
101
                wire_obufa_oe = {(~ wire_pseudo_diffa_oeout[0])};
102
        cyclonev_pseudo_diff_out   pseudo_diffa_0
103
        (
104
        .dtc(),
105
        .dtcbar(),
106
        .i(datain),
107
        .o(wire_pseudo_diffa_o[0:0]),
108
        .obar(wire_pseudo_diffa_obar[0:0]),
109
        .oebout(wire_pseudo_diffa_oebout[0:0]),
110
        .oein(wire_pseudo_diffa_oein[0:0]),
111
        .oeout(wire_pseudo_diffa_oeout[0:0])
112
        `ifndef FORMAL_VERIFICATION
113
        // synopsys translate_off
114
        `endif
115
        ,
116
        .dtcin(1'b0)
117
        `ifndef FORMAL_VERIFICATION
118
        // synopsys translate_on
119
        `endif
120
        );
121
        assign
122
                wire_pseudo_diffa_oein = {(~ oe_w[0])};
123
        assign
124
                dataout = wire_obufa_o,
125
                dataout_b = wire_obuf_ba_o,
126
                oe_w = 1'b1;
127
endmodule //hps_sdram_p0_clock_pair_generator
128
//VALID FILE

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.