OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [synthesis/] [submodules/] [hps_sdram_p0_iss_probe.v] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 redbear
// (C) 2001-2017 Intel Corporation. All rights reserved.
2
// Your use of Intel Corporation's design tools, logic functions and other 
3
// software and tools, and its AMPP partner logic functions, and any output 
4 40 redbear
// files from any of the foregoing (including device programming or simulation 
5 32 redbear
// files), and any associated documentation or information are expressly subject 
6
// to the terms and conditions of the Intel Program License Subscription 
7 40 redbear
// Agreement, Intel FPGA IP License Agreement, or other applicable 
8 32 redbear
// license agreement, including, without limitation, that your use is for the 
9
// sole purpose of programming logic devices manufactured by Intel and sold by 
10
// Intel or its authorized distributors.  Please refer to the applicable 
11
// agreement for further details.
12
 
13
 
14
 
15
`timescale 1 ps / 1 ps
16
 
17
module hps_sdram_p0_iss_probe (
18
        probe_input
19
);
20
parameter WIDTH = 1;
21
parameter ID_NAME = "PROB";
22
 
23
input [WIDTH-1:0] probe_input;
24
 
25
 
26
altsource_probe iss_probe_inst (
27
                                .probe (probe_input),
28
                                .source ()
29
                                // synopsys translate_off
30
                                ,
31
                                .clr (),
32
                                .ena (),
33
                                .ir_in (),
34
                                .ir_out (),
35
                                .jtag_state_cdr (),
36
                                .jtag_state_cir (),
37
                                .jtag_state_e1dr (),
38
                                .jtag_state_sdr (),
39
                                .jtag_state_tlr (),
40
                                .jtag_state_udr (),
41
                                .jtag_state_uir (),
42
                                .raw_tck (),
43
                                .source_clk (),
44
                                .source_ena (),
45
                                .tdi (),
46
                                .tdo (),
47
                                .usr1 ()
48
                                // synopsys translate_on
49
                                );
50
        defparam
51
                iss_probe_inst.enable_metastability = "NO",
52
                iss_probe_inst.instance_id = ID_NAME,
53
                iss_probe_inst.probe_width = WIDTH,
54
                iss_probe_inst.sld_auto_instance_index = "YES",
55
                iss_probe_inst.sld_instance_index = 0,
56
                iss_probe_inst.source_initial_value = "0",
57
                iss_probe_inst.source_width = 0;
58
 
59
 
60
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.