OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [synthesis/] [submodules/] [ulight_fifo_fifo_empty_rx_status.v] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 redbear
//Legal Notice: (C)2018 Altera Corporation. All rights reserved.  Your
2 32 redbear
//use of Altera Corporation's design tools, logic functions and other
3
//software and tools, and its AMPP partner logic functions, and any
4
//output files any of the foregoing (including device programming or
5
//simulation files), and any associated documentation or information are
6
//expressly subject to the terms and conditions of the Altera Program
7
//License Subscription Agreement or other applicable license agreement,
8
//including, without limitation, that your use is for the sole purpose
9
//of programming logic devices manufactured by Altera and sold by Altera
10
//or its authorized distributors.  Please refer to the applicable
11
//agreement for further details.
12
 
13
// synthesis translate_off
14
`timescale 1ns / 1ps
15
// synthesis translate_on
16
 
17
// turn off superfluous verilog processor warnings 
18
// altera message_level Level1 
19
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
20
 
21
module ulight_fifo_fifo_empty_rx_status (
22
                                          // inputs:
23
                                           address,
24
                                           clk,
25
                                           in_port,
26
                                           reset_n,
27
 
28
                                          // outputs:
29
                                           readdata
30
                                        )
31
;
32
 
33
  output  [ 31: 0] readdata;
34
  input   [  1: 0] address;
35
  input            clk;
36
  input            in_port;
37
  input            reset_n;
38
 
39
 
40
wire             clk_en;
41
wire             data_in;
42
wire             read_mux_out;
43
reg     [ 31: 0] readdata;
44
  assign clk_en = 1;
45
  //s1, which is an e_avalon_slave
46
  assign read_mux_out = {1 {(address == 0)}} & data_in;
47
  always @(posedge clk or negedge reset_n)
48
    begin
49
      if (reset_n == 0)
50
          readdata <= 0;
51
      else if (clk_en)
52
          readdata <= {32'b0 | read_mux_out};
53
    end
54
 
55
 
56
  assign data_in = in_port;
57
 
58
endmodule
59
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.