OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [synthesis/] [submodules/] [ulight_fifo_hps_0_hps_io_border.sv] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 redbear
// (C) 2001-2017 Intel Corporation. All rights reserved.
2
// Your use of Intel Corporation's design tools, logic functions and other
3
// software and tools, and its AMPP partner logic functions, and any output
4 40 redbear
// files from any of the foregoing (including device programming or simulation
5 32 redbear
// files), and any associated documentation or information are expressly subject
6
// to the terms and conditions of the Intel Program License Subscription
7 40 redbear
// Agreement, Intel FPGA IP License Agreement, or other applicable
8 32 redbear
// license agreement, including, without limitation, that your use is for the
9
// sole purpose of programming logic devices manufactured by Intel and sold by
10
// Intel or its authorized distributors.  Please refer to the applicable
11
// agreement for further details.
12
 
13
 
14
module ulight_fifo_hps_0_hps_io_border(
15
// memory
16
  output wire [13 - 1 : 0 ] mem_a
17
 ,output wire [3 - 1 : 0 ] mem_ba
18
 ,output wire [1 - 1 : 0 ] mem_ck
19
 ,output wire [1 - 1 : 0 ] mem_ck_n
20
 ,output wire [1 - 1 : 0 ] mem_cke
21
 ,output wire [1 - 1 : 0 ] mem_cs_n
22
 ,output wire [1 - 1 : 0 ] mem_ras_n
23
 ,output wire [1 - 1 : 0 ] mem_cas_n
24
 ,output wire [1 - 1 : 0 ] mem_we_n
25
 ,output wire [1 - 1 : 0 ] mem_reset_n
26
 ,inout wire [8 - 1 : 0 ] mem_dq
27
 ,inout wire [1 - 1 : 0 ] mem_dqs
28
 ,inout wire [1 - 1 : 0 ] mem_dqs_n
29
 ,output wire [1 - 1 : 0 ] mem_odt
30
 ,output wire [1 - 1 : 0 ] mem_dm
31
 ,input wire [1 - 1 : 0 ] oct_rzqin
32
);
33
 
34
 
35
hps_sdram hps_sdram_inst(
36
 .mem_dq({
37
    mem_dq[7:0] // 7:0
38
  })
39
,.mem_odt({
40
    mem_odt[0:0] // 0:0
41
  })
42
,.mem_ras_n({
43
    mem_ras_n[0:0] // 0:0
44
  })
45
,.mem_dqs_n({
46
    mem_dqs_n[0:0] // 0:0
47
  })
48
,.mem_dqs({
49
    mem_dqs[0:0] // 0:0
50
  })
51
,.mem_dm({
52
    mem_dm[0:0] // 0:0
53
  })
54
,.mem_we_n({
55
    mem_we_n[0:0] // 0:0
56
  })
57
,.mem_cas_n({
58
    mem_cas_n[0:0] // 0:0
59
  })
60
,.mem_ba({
61
    mem_ba[2:0] // 2:0
62
  })
63
,.mem_a({
64
    mem_a[12:0] // 12:0
65
  })
66
,.mem_cs_n({
67
    mem_cs_n[0:0] // 0:0
68
  })
69
,.mem_ck({
70
    mem_ck[0:0] // 0:0
71
  })
72
,.mem_cke({
73
    mem_cke[0:0] // 0:0
74
  })
75
,.oct_rzqin({
76
    oct_rzqin[0:0] // 0:0
77
  })
78
,.mem_reset_n({
79
    mem_reset_n[0:0] // 0:0
80
  })
81
,.mem_ck_n({
82
    mem_ck_n[0:0] // 0:0
83
  })
84
);
85
 
86
endmodule
87
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.