OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [synthesis/] [submodules/] [ulight_fifo_mm_interconnect_0_avalon_st_adapter.v] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 redbear
// ulight_fifo_mm_interconnect_0_avalon_st_adapter.v
2
 
3
// This file was auto-generated from altera_avalon_st_adapter_hw.tcl.  If you edit it your changes
4
// will probably be lost.
5
// 
6 40 redbear
// Generated using ACDS version 17.1 593
7 32 redbear
 
8
`timescale 1 ps / 1 ps
9
module ulight_fifo_mm_interconnect_0_avalon_st_adapter #(
10
                parameter inBitsPerSymbol = 34,
11
                parameter inUsePackets    = 0,
12
                parameter inDataWidth     = 34,
13
                parameter inChannelWidth  = 0,
14
                parameter inErrorWidth    = 0,
15
                parameter inUseEmptyPort  = 0,
16
                parameter inUseValid      = 1,
17
                parameter inUseReady      = 1,
18
                parameter inReadyLatency  = 0,
19
                parameter outDataWidth    = 34,
20
                parameter outChannelWidth = 0,
21
                parameter outErrorWidth   = 1,
22
                parameter outUseEmptyPort = 0,
23
                parameter outUseValid     = 1,
24
                parameter outUseReady     = 1,
25
                parameter outReadyLatency = 0
26
        ) (
27
                input  wire        in_clk_0_clk,   // in_clk_0.clk
28
                input  wire        in_rst_0_reset, // in_rst_0.reset
29
                input  wire [33:0] in_0_data,      //     in_0.data
30
                input  wire        in_0_valid,     //         .valid
31
                output wire        in_0_ready,     //         .ready
32
                output wire [33:0] out_0_data,     //    out_0.data
33
                output wire        out_0_valid,    //         .valid
34
                input  wire        out_0_ready,    //         .ready
35
                output wire [0:0]  out_0_error     //         .error
36
        );
37
 
38
        generate
39
                // If any of the display statements (or deliberately broken
40
                // instantiations) within this generate block triggers then this module
41
                // has been instantiated this module with a set of parameters different
42
                // from those it was generated for.  This will usually result in a
43
                // non-functioning system.
44
                if (inBitsPerSymbol != 34)
45
                begin
46
                        initial begin
47
                                $display("Generated module instantiated with wrong parameters");
48
                                $stop;
49
                        end
50
                        instantiated_with_wrong_parameters_error_see_comment_above
51
                                        inbitspersymbol_check ( .error(1'b1) );
52
                end
53
                if (inUsePackets != 0)
54
                begin
55
                        initial begin
56
                                $display("Generated module instantiated with wrong parameters");
57
                                $stop;
58
                        end
59
                        instantiated_with_wrong_parameters_error_see_comment_above
60
                                        inusepackets_check ( .error(1'b1) );
61
                end
62
                if (inDataWidth != 34)
63
                begin
64
                        initial begin
65
                                $display("Generated module instantiated with wrong parameters");
66
                                $stop;
67
                        end
68
                        instantiated_with_wrong_parameters_error_see_comment_above
69
                                        indatawidth_check ( .error(1'b1) );
70
                end
71
                if (inChannelWidth != 0)
72
                begin
73
                        initial begin
74
                                $display("Generated module instantiated with wrong parameters");
75
                                $stop;
76
                        end
77
                        instantiated_with_wrong_parameters_error_see_comment_above
78
                                        inchannelwidth_check ( .error(1'b1) );
79
                end
80
                if (inErrorWidth != 0)
81
                begin
82
                        initial begin
83
                                $display("Generated module instantiated with wrong parameters");
84
                                $stop;
85
                        end
86
                        instantiated_with_wrong_parameters_error_see_comment_above
87
                                        inerrorwidth_check ( .error(1'b1) );
88
                end
89
                if (inUseEmptyPort != 0)
90
                begin
91
                        initial begin
92
                                $display("Generated module instantiated with wrong parameters");
93
                                $stop;
94
                        end
95
                        instantiated_with_wrong_parameters_error_see_comment_above
96
                                        inuseemptyport_check ( .error(1'b1) );
97
                end
98
                if (inUseValid != 1)
99
                begin
100
                        initial begin
101
                                $display("Generated module instantiated with wrong parameters");
102
                                $stop;
103
                        end
104
                        instantiated_with_wrong_parameters_error_see_comment_above
105
                                        inusevalid_check ( .error(1'b1) );
106
                end
107
                if (inUseReady != 1)
108
                begin
109
                        initial begin
110
                                $display("Generated module instantiated with wrong parameters");
111
                                $stop;
112
                        end
113
                        instantiated_with_wrong_parameters_error_see_comment_above
114
                                        inuseready_check ( .error(1'b1) );
115
                end
116
                if (inReadyLatency != 0)
117
                begin
118
                        initial begin
119
                                $display("Generated module instantiated with wrong parameters");
120
                                $stop;
121
                        end
122
                        instantiated_with_wrong_parameters_error_see_comment_above
123
                                        inreadylatency_check ( .error(1'b1) );
124
                end
125
                if (outDataWidth != 34)
126
                begin
127
                        initial begin
128
                                $display("Generated module instantiated with wrong parameters");
129
                                $stop;
130
                        end
131
                        instantiated_with_wrong_parameters_error_see_comment_above
132
                                        outdatawidth_check ( .error(1'b1) );
133
                end
134
                if (outChannelWidth != 0)
135
                begin
136
                        initial begin
137
                                $display("Generated module instantiated with wrong parameters");
138
                                $stop;
139
                        end
140
                        instantiated_with_wrong_parameters_error_see_comment_above
141
                                        outchannelwidth_check ( .error(1'b1) );
142
                end
143
                if (outErrorWidth != 1)
144
                begin
145
                        initial begin
146
                                $display("Generated module instantiated with wrong parameters");
147
                                $stop;
148
                        end
149
                        instantiated_with_wrong_parameters_error_see_comment_above
150
                                        outerrorwidth_check ( .error(1'b1) );
151
                end
152
                if (outUseEmptyPort != 0)
153
                begin
154
                        initial begin
155
                                $display("Generated module instantiated with wrong parameters");
156
                                $stop;
157
                        end
158
                        instantiated_with_wrong_parameters_error_see_comment_above
159
                                        outuseemptyport_check ( .error(1'b1) );
160
                end
161
                if (outUseValid != 1)
162
                begin
163
                        initial begin
164
                                $display("Generated module instantiated with wrong parameters");
165
                                $stop;
166
                        end
167
                        instantiated_with_wrong_parameters_error_see_comment_above
168
                                        outusevalid_check ( .error(1'b1) );
169
                end
170
                if (outUseReady != 1)
171
                begin
172
                        initial begin
173
                                $display("Generated module instantiated with wrong parameters");
174
                                $stop;
175
                        end
176
                        instantiated_with_wrong_parameters_error_see_comment_above
177
                                        outuseready_check ( .error(1'b1) );
178
                end
179
                if (outReadyLatency != 0)
180
                begin
181
                        initial begin
182
                                $display("Generated module instantiated with wrong parameters");
183
                                $stop;
184
                        end
185
                        instantiated_with_wrong_parameters_error_see_comment_above
186
                                        outreadylatency_check ( .error(1'b1) );
187
                end
188
        endgenerate
189
 
190
        ulight_fifo_mm_interconnect_0_avalon_st_adapter_error_adapter_0 error_adapter_0 (
191
                .clk       (in_clk_0_clk),    //   clk.clk
192
                .reset_n   (~in_rst_0_reset), // reset.reset_n
193
                .in_data   (in_0_data),       //    in.data
194
                .in_valid  (in_0_valid),      //      .valid
195
                .in_ready  (in_0_ready),      //      .ready
196
                .out_data  (out_0_data),      //   out.data
197
                .out_valid (out_0_valid),     //      .valid
198
                .out_ready (out_0_ready),     //      .ready
199
                .out_error (out_0_error)      //      .error
200
        );
201
 
202
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.