OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [synthesis/] [submodules/] [ulight_fifo_timecode_tx_data.v] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 40 redbear
//Legal Notice: (C)2018 Altera Corporation. All rights reserved.  Your
2 32 redbear
//use of Altera Corporation's design tools, logic functions and other
3
//software and tools, and its AMPP partner logic functions, and any
4
//output files any of the foregoing (including device programming or
5
//simulation files), and any associated documentation or information are
6
//expressly subject to the terms and conditions of the Altera Program
7
//License Subscription Agreement or other applicable license agreement,
8
//including, without limitation, that your use is for the sole purpose
9
//of programming logic devices manufactured by Altera and sold by Altera
10
//or its authorized distributors.  Please refer to the applicable
11
//agreement for further details.
12
 
13
// synthesis translate_off
14
`timescale 1ns / 1ps
15
// synthesis translate_on
16
 
17
// turn off superfluous verilog processor warnings 
18
// altera message_level Level1 
19
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
20
 
21
module ulight_fifo_timecode_tx_data (
22
                                      // inputs:
23
                                       address,
24
                                       chipselect,
25
                                       clk,
26
                                       reset_n,
27
                                       write_n,
28
                                       writedata,
29
 
30
                                      // outputs:
31
                                       out_port,
32
                                       readdata
33
                                    )
34
;
35
 
36
  output  [  7: 0] out_port;
37
  output  [ 31: 0] readdata;
38
  input   [  1: 0] address;
39
  input            chipselect;
40
  input            clk;
41
  input            reset_n;
42
  input            write_n;
43
  input   [ 31: 0] writedata;
44
 
45
 
46
wire             clk_en;
47
reg     [  7: 0] data_out;
48
wire    [  7: 0] out_port;
49
wire    [  7: 0] read_mux_out;
50
wire    [ 31: 0] readdata;
51
  assign clk_en = 1;
52
  //s1, which is an e_avalon_slave
53
  assign read_mux_out = {8 {(address == 0)}} & data_out;
54
  always @(posedge clk or negedge reset_n)
55
    begin
56
      if (reset_n == 0)
57
          data_out <= 0;
58
      else if (chipselect && ~write_n && (address == 0))
59
          data_out <= writedata[7 : 0];
60
    end
61
 
62
 
63
  assign readdata = {32'b0 | read_mux_out};
64
  assign out_port = data_out;
65
 
66
endmodule
67
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.