OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [ulight_fifo.cmp] - Blame information for rev 32

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 redbear
        component ulight_fifo is
2
                port (
3
                        auto_start_external_connection_export           : out   std_logic;                                        -- export
4
                        clk_clk                                         : in    std_logic                     := 'X';             -- clk
5
                        clock_sel_external_connection_export            : out   std_logic_vector(2 downto 0);                     -- export
6
                        counter_rx_fifo_external_connection_export      : in    std_logic_vector(5 downto 0)  := (others => 'X'); -- export
7
                        counter_tx_fifo_external_connection_export      : in    std_logic_vector(5 downto 0)  := (others => 'X'); -- export
8
                        data_flag_rx_external_connection_export         : in    std_logic_vector(8 downto 0)  := (others => 'X'); -- export
9
                        data_info_external_connection_export            : in    std_logic_vector(13 downto 0) := (others => 'X'); -- export
10
                        data_read_en_rx_external_connection_export      : out   std_logic;                                        -- export
11
                        fifo_empty_rx_status_external_connection_export : in    std_logic                     := 'X';             -- export
12
                        fifo_empty_tx_status_external_connection_export : in    std_logic                     := 'X';             -- export
13
                        fifo_full_rx_status_external_connection_export  : in    std_logic                     := 'X';             -- export
14
                        fifo_full_tx_status_external_connection_export  : in    std_logic                     := 'X';             -- export
15
                        fsm_info_external_connection_export             : in    std_logic_vector(5 downto 0)  := (others => 'X'); -- export
16
                        led_pio_test_external_connection_export         : out   std_logic_vector(4 downto 0);                     -- export
17
                        link_disable_external_connection_export         : out   std_logic;                                        -- export
18
                        link_start_external_connection_export           : out   std_logic;                                        -- export
19
                        memory_mem_a                                    : out   std_logic_vector(12 downto 0);                    -- mem_a
20
                        memory_mem_ba                                   : out   std_logic_vector(2 downto 0);                     -- mem_ba
21
                        memory_mem_ck                                   : out   std_logic;                                        -- mem_ck
22
                        memory_mem_ck_n                                 : out   std_logic;                                        -- mem_ck_n
23
                        memory_mem_cke                                  : out   std_logic;                                        -- mem_cke
24
                        memory_mem_cs_n                                 : out   std_logic;                                        -- mem_cs_n
25
                        memory_mem_ras_n                                : out   std_logic;                                        -- mem_ras_n
26
                        memory_mem_cas_n                                : out   std_logic;                                        -- mem_cas_n
27
                        memory_mem_we_n                                 : out   std_logic;                                        -- mem_we_n
28
                        memory_mem_reset_n                              : out   std_logic;                                        -- mem_reset_n
29
                        memory_mem_dq                                   : inout std_logic_vector(7 downto 0)  := (others => 'X'); -- mem_dq
30
                        memory_mem_dqs                                  : inout std_logic                     := 'X';             -- mem_dqs
31
                        memory_mem_dqs_n                                : inout std_logic                     := 'X';             -- mem_dqs_n
32
                        memory_mem_odt                                  : out   std_logic;                                        -- mem_odt
33
                        memory_mem_dm                                   : out   std_logic;                                        -- mem_dm
34
                        memory_oct_rzqin                                : in    std_logic                     := 'X';             -- oct_rzqin
35
                        pll_0_locked_export                             : out   std_logic;                                        -- export
36
                        pll_0_outclk0_clk                               : out   std_logic;                                        -- clk
37
                        reset_reset_n                                   : in    std_logic                     := 'X';             -- reset_n
38
                        timecode_ready_rx_external_connection_export    : in    std_logic                     := 'X';             -- export
39
                        timecode_rx_external_connection_export          : in    std_logic_vector(7 downto 0)  := (others => 'X'); -- export
40
                        timecode_tx_data_external_connection_export     : out   std_logic_vector(7 downto 0);                     -- export
41
                        timecode_tx_enable_external_connection_export   : out   std_logic;                                        -- export
42
                        timecode_tx_ready_external_connection_export    : in    std_logic                     := 'X';             -- export
43
                        write_data_fifo_tx_external_connection_export   : out   std_logic_vector(8 downto 0);                     -- export
44
                        write_en_tx_external_connection_export          : out   std_logic                                         -- export
45
                );
46
        end component ulight_fifo;
47
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.