OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [ulight_fifo_bb.v] - Blame information for rev 32

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 redbear
 
2
module ulight_fifo (
3
        auto_start_external_connection_export,
4
        clk_clk,
5
        clock_sel_external_connection_export,
6
        counter_rx_fifo_external_connection_export,
7
        counter_tx_fifo_external_connection_export,
8
        data_flag_rx_external_connection_export,
9
        data_info_external_connection_export,
10
        data_read_en_rx_external_connection_export,
11
        fifo_empty_rx_status_external_connection_export,
12
        fifo_empty_tx_status_external_connection_export,
13
        fifo_full_rx_status_external_connection_export,
14
        fifo_full_tx_status_external_connection_export,
15
        fsm_info_external_connection_export,
16
        led_pio_test_external_connection_export,
17
        link_disable_external_connection_export,
18
        link_start_external_connection_export,
19
        memory_mem_a,
20
        memory_mem_ba,
21
        memory_mem_ck,
22
        memory_mem_ck_n,
23
        memory_mem_cke,
24
        memory_mem_cs_n,
25
        memory_mem_ras_n,
26
        memory_mem_cas_n,
27
        memory_mem_we_n,
28
        memory_mem_reset_n,
29
        memory_mem_dq,
30
        memory_mem_dqs,
31
        memory_mem_dqs_n,
32
        memory_mem_odt,
33
        memory_mem_dm,
34
        memory_oct_rzqin,
35
        pll_0_locked_export,
36
        pll_0_outclk0_clk,
37
        reset_reset_n,
38
        timecode_ready_rx_external_connection_export,
39
        timecode_rx_external_connection_export,
40
        timecode_tx_data_external_connection_export,
41
        timecode_tx_enable_external_connection_export,
42
        timecode_tx_ready_external_connection_export,
43
        write_data_fifo_tx_external_connection_export,
44
        write_en_tx_external_connection_export);
45
 
46
        output          auto_start_external_connection_export;
47
        input           clk_clk;
48
        output  [2:0]    clock_sel_external_connection_export;
49
        input   [5:0]    counter_rx_fifo_external_connection_export;
50
        input   [5:0]    counter_tx_fifo_external_connection_export;
51
        input   [8:0]    data_flag_rx_external_connection_export;
52
        input   [13:0]   data_info_external_connection_export;
53
        output          data_read_en_rx_external_connection_export;
54
        input           fifo_empty_rx_status_external_connection_export;
55
        input           fifo_empty_tx_status_external_connection_export;
56
        input           fifo_full_rx_status_external_connection_export;
57
        input           fifo_full_tx_status_external_connection_export;
58
        input   [5:0]    fsm_info_external_connection_export;
59
        output  [4:0]    led_pio_test_external_connection_export;
60
        output          link_disable_external_connection_export;
61
        output          link_start_external_connection_export;
62
        output  [12:0]   memory_mem_a;
63
        output  [2:0]    memory_mem_ba;
64
        output          memory_mem_ck;
65
        output          memory_mem_ck_n;
66
        output          memory_mem_cke;
67
        output          memory_mem_cs_n;
68
        output          memory_mem_ras_n;
69
        output          memory_mem_cas_n;
70
        output          memory_mem_we_n;
71
        output          memory_mem_reset_n;
72
        inout   [7:0]    memory_mem_dq;
73
        inout           memory_mem_dqs;
74
        inout           memory_mem_dqs_n;
75
        output          memory_mem_odt;
76
        output          memory_mem_dm;
77
        input           memory_oct_rzqin;
78
        output          pll_0_locked_export;
79
        output          pll_0_outclk0_clk;
80
        input           reset_reset_n;
81
        input           timecode_ready_rx_external_connection_export;
82
        input   [7:0]    timecode_rx_external_connection_export;
83
        output  [7:0]    timecode_tx_data_external_connection_export;
84
        output          timecode_tx_enable_external_connection_export;
85
        input           timecode_tx_ready_external_connection_export;
86
        output  [8:0]    write_data_fifo_tx_external_connection_export;
87
        output          write_en_tx_external_connection_export;
88
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.