OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [altera_work/] [spw_fifo_ulight/] [ulight_fifo/] [ulight_fifo_generation_previous.rpt] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 32 redbear
Info: Starting: Create block symbol file (.bsf)
2
Info: qsys-generate /home/felipe/Documentos/verilog_projects/SPW_SC/TESTSTRESS/spw_fifo_ulight/ulight_fifo.qsys --block-symbol-file --output-directory=/home/felipe/Documentos/verilog_projects/SPW_SC/TESTSTRESS/spw_fifo_ulight/ulight_fifo --family="Cyclone V" --part=5CSEMA4U23C6
3
Progress: Loading spw_fifo_ulight/ulight_fifo.qsys
4
Progress: Reading input file
5
Progress: Adding auto_start [altera_avalon_pio 17.0]
6
Progress: Parameterizing module auto_start
7
Progress: Adding clk_0 [clock_source 17.0]
8
Progress: Parameterizing module clk_0
9
Progress: Adding clock_sel [altera_avalon_pio 17.0]
10
Progress: Parameterizing module clock_sel
11
Progress: Adding counter_rx_fifo [altera_avalon_pio 17.0]
12
Progress: Parameterizing module counter_rx_fifo
13
Progress: Adding counter_tx_fifo [altera_avalon_pio 17.0]
14
Progress: Parameterizing module counter_tx_fifo
15
Progress: Adding data_flag_rx [altera_avalon_pio 17.0]
16
Progress: Parameterizing module data_flag_rx
17
Progress: Adding data_info [altera_avalon_pio 17.0]
18
Progress: Parameterizing module data_info
19
Progress: Adding data_read_en_rx [altera_avalon_pio 17.0]
20
Progress: Parameterizing module data_read_en_rx
21
Progress: Adding fifo_empty_rx_status [altera_avalon_pio 17.0]
22
Progress: Parameterizing module fifo_empty_rx_status
23
Progress: Adding fifo_empty_tx_status [altera_avalon_pio 17.0]
24
Progress: Parameterizing module fifo_empty_tx_status
25
Progress: Adding fifo_full_rx_status [altera_avalon_pio 17.0]
26
Progress: Parameterizing module fifo_full_rx_status
27
Progress: Adding fifo_full_tx_status [altera_avalon_pio 17.0]
28
Progress: Parameterizing module fifo_full_tx_status
29
Progress: Adding fsm_info [altera_avalon_pio 17.0]
30
Progress: Parameterizing module fsm_info
31
Progress: Adding hps_0 [altera_hps 17.0]
32
Progress: Parameterizing module hps_0
33
Progress: Adding led_pio_test [altera_avalon_pio 17.0]
34
Progress: Parameterizing module led_pio_test
35
Progress: Adding link_disable [altera_avalon_pio 17.0]
36
Progress: Parameterizing module link_disable
37
Progress: Adding link_start [altera_avalon_pio 17.0]
38
Progress: Parameterizing module link_start
39
Progress: Adding pll_0 [altera_pll 17.0]
40
Progress: Parameterizing module pll_0
41
Progress: Adding timecode_ready_rx [altera_avalon_pio 17.0]
42
Progress: Parameterizing module timecode_ready_rx
43
Progress: Adding timecode_rx [altera_avalon_pio 17.0]
44
Progress: Parameterizing module timecode_rx
45
Progress: Adding timecode_tx_data [altera_avalon_pio 17.0]
46
Progress: Parameterizing module timecode_tx_data
47
Progress: Adding timecode_tx_enable [altera_avalon_pio 17.0]
48
Progress: Parameterizing module timecode_tx_enable
49
Progress: Adding timecode_tx_ready [altera_avalon_pio 17.0]
50
Progress: Parameterizing module timecode_tx_ready
51
Progress: Adding write_data_fifo_tx [altera_avalon_pio 17.0]
52
Progress: Parameterizing module write_data_fifo_tx
53
Progress: Adding write_en_tx [altera_avalon_pio 17.0]
54
Progress: Parameterizing module write_en_tx
55
Progress: Building connections
56
Progress: Parameterizing connections
57
Progress: Validating
58
Progress: Done reading input file
59
Info: ulight_fifo.counter_rx_fifo: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
60
Info: ulight_fifo.counter_tx_fifo: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
61
Info: ulight_fifo.data_flag_rx: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
62
Info: ulight_fifo.data_info: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
63
Info: ulight_fifo.fifo_empty_rx_status: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
64
Info: ulight_fifo.fifo_empty_tx_status: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
65
Info: ulight_fifo.fifo_full_rx_status: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
66
Info: ulight_fifo.fifo_full_tx_status: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
67
Info: ulight_fifo.fsm_info: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
68 40 redbear
Info: ulight_fifo.hps_0: HPS Main PLL counter settings: n = 0  m = 36
69
Info: ulight_fifo.hps_0: HPS peripherial PLL counter settings: n = 0  m = 19
70 32 redbear
Warning: ulight_fifo.hps_0: "Configuration/HPS-to-FPGA user 0 clock frequency" (desired_cfg_clk_mhz) requested 100.0 MHz, but only achieved 97.368421 MHz
71
Warning: ulight_fifo.hps_0: 1 or more output clock frequencies cannot be achieved precisely, consider revising desired output clock frequencies.
72
Warning: ulight_fifo.hps_0: ODT is disabled. Enabling ODT (Mode Register 1) may improve signal integrity
73
Warning: ulight_fifo.hps_0: set_interface_assignment: Interface "hps_io" does not exist
74
Info: ulight_fifo.pll_0: The legal reference clock frequency is 5.0 MHz..800.0 MHz
75 40 redbear
Warning: ulight_fifo.pll_0: 'refclk1' is not the same frequency as 'refclk'. You must run Timequest at both frequencies to ensure timing closure
76
Warning: ulight_fifo.pll_0: The period difference between refclk and refclk1 is greater than 20%, automatic clock loss detection will not work
77 32 redbear
Info: ulight_fifo.pll_0: Able to implement PLL with user settings
78
Warning: ulight_fifo.pll_0.refclk1: Signal refclk1 has unknown type refclk1
79
Info: ulight_fifo.timecode_ready_rx: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
80
Info: ulight_fifo.timecode_rx: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
81
Info: ulight_fifo.timecode_tx_ready: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
82
Info: qsys-generate succeeded.
83
Info: Finished: Create block symbol file (.bsf)
84
Info:
85
Info: Starting: Create HDL design files for synthesis
86
Info: qsys-generate /home/felipe/Documentos/verilog_projects/SPW_SC/TESTSTRESS/spw_fifo_ulight/ulight_fifo.qsys --synthesis=VERILOG --output-directory=/home/felipe/Documentos/verilog_projects/SPW_SC/TESTSTRESS/spw_fifo_ulight/ulight_fifo/synthesis --family="Cyclone V" --part=5CSEMA4U23C6
87
Progress: Loading spw_fifo_ulight/ulight_fifo.qsys
88
Progress: Reading input file
89
Progress: Adding auto_start [altera_avalon_pio 17.0]
90
Progress: Parameterizing module auto_start
91
Progress: Adding clk_0 [clock_source 17.0]
92
Progress: Parameterizing module clk_0
93
Progress: Adding clock_sel [altera_avalon_pio 17.0]
94
Progress: Parameterizing module clock_sel
95
Progress: Adding counter_rx_fifo [altera_avalon_pio 17.0]
96
Progress: Parameterizing module counter_rx_fifo
97
Progress: Adding counter_tx_fifo [altera_avalon_pio 17.0]
98
Progress: Parameterizing module counter_tx_fifo
99
Progress: Adding data_flag_rx [altera_avalon_pio 17.0]
100
Progress: Parameterizing module data_flag_rx
101
Progress: Adding data_info [altera_avalon_pio 17.0]
102
Progress: Parameterizing module data_info
103
Progress: Adding data_read_en_rx [altera_avalon_pio 17.0]
104
Progress: Parameterizing module data_read_en_rx
105
Progress: Adding fifo_empty_rx_status [altera_avalon_pio 17.0]
106
Progress: Parameterizing module fifo_empty_rx_status
107
Progress: Adding fifo_empty_tx_status [altera_avalon_pio 17.0]
108
Progress: Parameterizing module fifo_empty_tx_status
109
Progress: Adding fifo_full_rx_status [altera_avalon_pio 17.0]
110
Progress: Parameterizing module fifo_full_rx_status
111
Progress: Adding fifo_full_tx_status [altera_avalon_pio 17.0]
112
Progress: Parameterizing module fifo_full_tx_status
113
Progress: Adding fsm_info [altera_avalon_pio 17.0]
114
Progress: Parameterizing module fsm_info
115
Progress: Adding hps_0 [altera_hps 17.0]
116
Progress: Parameterizing module hps_0
117
Progress: Adding led_pio_test [altera_avalon_pio 17.0]
118
Progress: Parameterizing module led_pio_test
119
Progress: Adding link_disable [altera_avalon_pio 17.0]
120
Progress: Parameterizing module link_disable
121
Progress: Adding link_start [altera_avalon_pio 17.0]
122
Progress: Parameterizing module link_start
123
Progress: Adding pll_0 [altera_pll 17.0]
124
Progress: Parameterizing module pll_0
125
Progress: Adding timecode_ready_rx [altera_avalon_pio 17.0]
126
Progress: Parameterizing module timecode_ready_rx
127
Progress: Adding timecode_rx [altera_avalon_pio 17.0]
128
Progress: Parameterizing module timecode_rx
129
Progress: Adding timecode_tx_data [altera_avalon_pio 17.0]
130
Progress: Parameterizing module timecode_tx_data
131
Progress: Adding timecode_tx_enable [altera_avalon_pio 17.0]
132
Progress: Parameterizing module timecode_tx_enable
133
Progress: Adding timecode_tx_ready [altera_avalon_pio 17.0]
134
Progress: Parameterizing module timecode_tx_ready
135
Progress: Adding write_data_fifo_tx [altera_avalon_pio 17.0]
136
Progress: Parameterizing module write_data_fifo_tx
137
Progress: Adding write_en_tx [altera_avalon_pio 17.0]
138
Progress: Parameterizing module write_en_tx
139
Progress: Building connections
140
Progress: Parameterizing connections
141
Progress: Validating
142
Progress: Done reading input file
143
Info: ulight_fifo.counter_rx_fifo: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
144
Info: ulight_fifo.counter_tx_fifo: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
145
Info: ulight_fifo.data_flag_rx: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
146
Info: ulight_fifo.data_info: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
147
Info: ulight_fifo.fifo_empty_rx_status: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
148
Info: ulight_fifo.fifo_empty_tx_status: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
149
Info: ulight_fifo.fifo_full_rx_status: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
150
Info: ulight_fifo.fifo_full_tx_status: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
151
Info: ulight_fifo.fsm_info: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
152 40 redbear
Info: ulight_fifo.hps_0: HPS Main PLL counter settings: n = 0  m = 36
153
Info: ulight_fifo.hps_0: HPS peripherial PLL counter settings: n = 0  m = 19
154 32 redbear
Warning: ulight_fifo.hps_0: "Configuration/HPS-to-FPGA user 0 clock frequency" (desired_cfg_clk_mhz) requested 100.0 MHz, but only achieved 97.368421 MHz
155
Warning: ulight_fifo.hps_0: 1 or more output clock frequencies cannot be achieved precisely, consider revising desired output clock frequencies.
156
Warning: ulight_fifo.hps_0: ODT is disabled. Enabling ODT (Mode Register 1) may improve signal integrity
157
Warning: ulight_fifo.hps_0: set_interface_assignment: Interface "hps_io" does not exist
158
Info: ulight_fifo.pll_0: The legal reference clock frequency is 5.0 MHz..800.0 MHz
159 40 redbear
Warning: ulight_fifo.pll_0: 'refclk1' is not the same frequency as 'refclk'. You must run Timequest at both frequencies to ensure timing closure
160
Warning: ulight_fifo.pll_0: The period difference between refclk and refclk1 is greater than 20%, automatic clock loss detection will not work
161 32 redbear
Info: ulight_fifo.pll_0: Able to implement PLL with user settings
162
Warning: ulight_fifo.pll_0.refclk1: Signal refclk1 has unknown type refclk1
163
Info: ulight_fifo.timecode_ready_rx: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
164
Info: ulight_fifo.timecode_rx: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
165
Info: ulight_fifo.timecode_tx_ready: PIO inputs are not hardwired in test bench. Undefined values will be read from PIO inputs during simulation.
166
Info: ulight_fifo: Generating ulight_fifo "ulight_fifo" for QUARTUS_SYNTH
167
Warning: ulight_fifo: "No matching role found for clk_0:clk:clk_out (clk)"
168
Warning: ulight_fifo: "No matching role found for pll_0:refclk1:refclk1 (refclk1)"
169
Info: auto_start: Starting RTL generation for module 'ulight_fifo_auto_start'
170 40 redbear
Info: auto_start:   Generation command is [exec /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/bin/perl -I /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/europa -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/perl_lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/common -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=ulight_fifo_auto_start --dir=/tmp/alt7485_7332943204255753946.dir/0002_auto_start_gen/ --quartus_dir=/home/felipe/intelFPGA_lite/17.0/quartus --verilog --config=/tmp/alt7485_7332943204255753946.dir/0002_auto_start_gen//ulight_fifo_auto_start_component_configuration.pl  --do_build_sim=0  ]
171 32 redbear
Info: auto_start: Done RTL generation for module 'ulight_fifo_auto_start'
172
Info: auto_start: "ulight_fifo" instantiated altera_avalon_pio "auto_start"
173
Info: clock_sel: Starting RTL generation for module 'ulight_fifo_clock_sel'
174 40 redbear
Info: clock_sel:   Generation command is [exec /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/bin/perl -I /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/europa -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/perl_lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/common -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=ulight_fifo_clock_sel --dir=/tmp/alt7485_7332943204255753946.dir/0003_clock_sel_gen/ --quartus_dir=/home/felipe/intelFPGA_lite/17.0/quartus --verilog --config=/tmp/alt7485_7332943204255753946.dir/0003_clock_sel_gen//ulight_fifo_clock_sel_component_configuration.pl  --do_build_sim=0  ]
175 32 redbear
Info: clock_sel: Done RTL generation for module 'ulight_fifo_clock_sel'
176
Info: clock_sel: "ulight_fifo" instantiated altera_avalon_pio "clock_sel"
177
Info: counter_rx_fifo: Starting RTL generation for module 'ulight_fifo_counter_rx_fifo'
178 40 redbear
Info: counter_rx_fifo:   Generation command is [exec /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/bin/perl -I /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/europa -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/perl_lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/common -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=ulight_fifo_counter_rx_fifo --dir=/tmp/alt7485_7332943204255753946.dir/0004_counter_rx_fifo_gen/ --quartus_dir=/home/felipe/intelFPGA_lite/17.0/quartus --verilog --config=/tmp/alt7485_7332943204255753946.dir/0004_counter_rx_fifo_gen//ulight_fifo_counter_rx_fifo_component_configuration.pl  --do_build_sim=0  ]
179 32 redbear
Info: counter_rx_fifo: Done RTL generation for module 'ulight_fifo_counter_rx_fifo'
180
Info: counter_rx_fifo: "ulight_fifo" instantiated altera_avalon_pio "counter_rx_fifo"
181
Info: data_flag_rx: Starting RTL generation for module 'ulight_fifo_data_flag_rx'
182 40 redbear
Info: data_flag_rx:   Generation command is [exec /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/bin/perl -I /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/europa -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/perl_lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/common -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=ulight_fifo_data_flag_rx --dir=/tmp/alt7485_7332943204255753946.dir/0005_data_flag_rx_gen/ --quartus_dir=/home/felipe/intelFPGA_lite/17.0/quartus --verilog --config=/tmp/alt7485_7332943204255753946.dir/0005_data_flag_rx_gen//ulight_fifo_data_flag_rx_component_configuration.pl  --do_build_sim=0  ]
183 32 redbear
Info: data_flag_rx: Done RTL generation for module 'ulight_fifo_data_flag_rx'
184
Info: data_flag_rx: "ulight_fifo" instantiated altera_avalon_pio "data_flag_rx"
185
Info: data_info: Starting RTL generation for module 'ulight_fifo_data_info'
186 40 redbear
Info: data_info:   Generation command is [exec /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/bin/perl -I /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/europa -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/perl_lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/common -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=ulight_fifo_data_info --dir=/tmp/alt7485_7332943204255753946.dir/0006_data_info_gen/ --quartus_dir=/home/felipe/intelFPGA_lite/17.0/quartus --verilog --config=/tmp/alt7485_7332943204255753946.dir/0006_data_info_gen//ulight_fifo_data_info_component_configuration.pl  --do_build_sim=0  ]
187 32 redbear
Info: data_info: Done RTL generation for module 'ulight_fifo_data_info'
188
Info: data_info: "ulight_fifo" instantiated altera_avalon_pio "data_info"
189
Info: fifo_empty_rx_status: Starting RTL generation for module 'ulight_fifo_fifo_empty_rx_status'
190 40 redbear
Info: fifo_empty_rx_status:   Generation command is [exec /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/bin/perl -I /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/europa -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/perl_lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/common -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=ulight_fifo_fifo_empty_rx_status --dir=/tmp/alt7485_7332943204255753946.dir/0007_fifo_empty_rx_status_gen/ --quartus_dir=/home/felipe/intelFPGA_lite/17.0/quartus --verilog --config=/tmp/alt7485_7332943204255753946.dir/0007_fifo_empty_rx_status_gen//ulight_fifo_fifo_empty_rx_status_component_configuration.pl  --do_build_sim=0  ]
191 32 redbear
Info: fifo_empty_rx_status: Done RTL generation for module 'ulight_fifo_fifo_empty_rx_status'
192
Info: fifo_empty_rx_status: "ulight_fifo" instantiated altera_avalon_pio "fifo_empty_rx_status"
193
Info: hps_0: "Running  for module: hps_0"
194 40 redbear
Info: hps_0: HPS Main PLL counter settings: n = 0  m = 36
195
Info: hps_0: HPS peripherial PLL counter settings: n = 0  m = 19
196 32 redbear
Warning: hps_0: "Configuration/HPS-to-FPGA user 0 clock frequency" (desired_cfg_clk_mhz) requested 100.0 MHz, but only achieved 97.368421 MHz
197
Warning: hps_0: 1 or more output clock frequencies cannot be achieved precisely, consider revising desired output clock frequencies.
198
Warning: hps_0: ODT is disabled. Enabling ODT (Mode Register 1) may improve signal integrity
199
Warning: hps_0: set_interface_assignment: Interface "hps_io" does not exist
200
Info: hps_0: "ulight_fifo" instantiated altera_hps "hps_0"
201
Info: led_pio_test: Starting RTL generation for module 'ulight_fifo_led_pio_test'
202 40 redbear
Info: led_pio_test:   Generation command is [exec /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/bin/perl -I /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/europa -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/perl_lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/common -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=ulight_fifo_led_pio_test --dir=/tmp/alt7485_7332943204255753946.dir/0008_led_pio_test_gen/ --quartus_dir=/home/felipe/intelFPGA_lite/17.0/quartus --verilog --config=/tmp/alt7485_7332943204255753946.dir/0008_led_pio_test_gen//ulight_fifo_led_pio_test_component_configuration.pl  --do_build_sim=0  ]
203 32 redbear
Info: led_pio_test: Done RTL generation for module 'ulight_fifo_led_pio_test'
204
Info: led_pio_test: "ulight_fifo" instantiated altera_avalon_pio "led_pio_test"
205
Info: pll_0: "ulight_fifo" instantiated altera_pll "pll_0"
206
Info: timecode_rx: Starting RTL generation for module 'ulight_fifo_timecode_rx'
207 40 redbear
Info: timecode_rx:   Generation command is [exec /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/bin/perl -I /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/europa -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/perl_lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/common -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=ulight_fifo_timecode_rx --dir=/tmp/alt7485_7332943204255753946.dir/0010_timecode_rx_gen/ --quartus_dir=/home/felipe/intelFPGA_lite/17.0/quartus --verilog --config=/tmp/alt7485_7332943204255753946.dir/0010_timecode_rx_gen//ulight_fifo_timecode_rx_component_configuration.pl  --do_build_sim=0  ]
208 32 redbear
Info: timecode_rx: Done RTL generation for module 'ulight_fifo_timecode_rx'
209
Info: timecode_rx: "ulight_fifo" instantiated altera_avalon_pio "timecode_rx"
210
Info: timecode_tx_data: Starting RTL generation for module 'ulight_fifo_timecode_tx_data'
211 40 redbear
Info: timecode_tx_data:   Generation command is [exec /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/bin/perl -I /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/europa -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/perl_lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/common -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=ulight_fifo_timecode_tx_data --dir=/tmp/alt7485_7332943204255753946.dir/0011_timecode_tx_data_gen/ --quartus_dir=/home/felipe/intelFPGA_lite/17.0/quartus --verilog --config=/tmp/alt7485_7332943204255753946.dir/0011_timecode_tx_data_gen//ulight_fifo_timecode_tx_data_component_configuration.pl  --do_build_sim=0  ]
212 32 redbear
Info: timecode_tx_data: Done RTL generation for module 'ulight_fifo_timecode_tx_data'
213
Info: timecode_tx_data: "ulight_fifo" instantiated altera_avalon_pio "timecode_tx_data"
214
Info: write_data_fifo_tx: Starting RTL generation for module 'ulight_fifo_write_data_fifo_tx'
215 40 redbear
Info: write_data_fifo_tx:   Generation command is [exec /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/bin/perl -I /home/felipe/intelFPGA_lite/17.0/quartus/linux64/perl/lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/europa -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin/perl_lib -I /home/felipe/intelFPGA_lite/17.0/quartus/sopc_builder/bin -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/common -I /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- /home/felipe/intelFPGA_lite/17.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=ulight_fifo_write_data_fifo_tx --dir=/tmp/alt7485_7332943204255753946.dir/0012_write_data_fifo_tx_gen/ --quartus_dir=/home/felipe/intelFPGA_lite/17.0/quartus --verilog --config=/tmp/alt7485_7332943204255753946.dir/0012_write_data_fifo_tx_gen//ulight_fifo_write_data_fifo_tx_component_configuration.pl  --do_build_sim=0  ]
216 32 redbear
Info: write_data_fifo_tx: Done RTL generation for module 'ulight_fifo_write_data_fifo_tx'
217
Info: write_data_fifo_tx: "ulight_fifo" instantiated altera_avalon_pio "write_data_fifo_tx"
218
Info: avalon_st_adapter: Inserting error_adapter: error_adapter_0
219
Info: avalon_st_adapter_001: Inserting error_adapter: error_adapter_0
220
Info: avalon_st_adapter_002: Inserting error_adapter: error_adapter_0
221
Info: avalon_st_adapter_003: Inserting error_adapter: error_adapter_0
222
Info: avalon_st_adapter_004: Inserting error_adapter: error_adapter_0
223
Info: avalon_st_adapter_005: Inserting error_adapter: error_adapter_0
224
Info: avalon_st_adapter_006: Inserting error_adapter: error_adapter_0
225
Info: avalon_st_adapter_007: Inserting error_adapter: error_adapter_0
226
Info: avalon_st_adapter_008: Inserting error_adapter: error_adapter_0
227
Info: avalon_st_adapter_009: Inserting error_adapter: error_adapter_0
228
Info: avalon_st_adapter_010: Inserting error_adapter: error_adapter_0
229
Info: avalon_st_adapter_011: Inserting error_adapter: error_adapter_0
230
Info: avalon_st_adapter_012: Inserting error_adapter: error_adapter_0
231
Info: avalon_st_adapter_013: Inserting error_adapter: error_adapter_0
232
Info: avalon_st_adapter_014: Inserting error_adapter: error_adapter_0
233
Info: avalon_st_adapter_015: Inserting error_adapter: error_adapter_0
234
Info: avalon_st_adapter_016: Inserting error_adapter: error_adapter_0
235
Info: avalon_st_adapter_017: Inserting error_adapter: error_adapter_0
236
Info: avalon_st_adapter_018: Inserting error_adapter: error_adapter_0
237
Info: avalon_st_adapter_019: Inserting error_adapter: error_adapter_0
238
Info: avalon_st_adapter_020: Inserting error_adapter: error_adapter_0
239
Info: avalon_st_adapter_021: Inserting error_adapter: error_adapter_0
240
Info: mm_interconnect_0: "ulight_fifo" instantiated altera_mm_interconnect "mm_interconnect_0"
241
Info: rst_controller: "ulight_fifo" instantiated altera_reset_controller "rst_controller"
242
Info: fpga_interfaces: "hps_0" instantiated altera_interface_generator "fpga_interfaces"
243
Info: hps_io: "hps_0" instantiated altera_hps_io "hps_io"
244
Info: led_pio_test_s1_translator: "mm_interconnect_0" instantiated altera_merlin_slave_translator "led_pio_test_s1_translator"
245
Info: hps_0_h2f_axi_master_agent: "mm_interconnect_0" instantiated altera_merlin_axi_master_ni "hps_0_h2f_axi_master_agent"
246
Info: led_pio_test_s1_agent: "mm_interconnect_0" instantiated altera_merlin_slave_agent "led_pio_test_s1_agent"
247
Info: led_pio_test_s1_agent_rsp_fifo: "mm_interconnect_0" instantiated altera_avalon_sc_fifo "led_pio_test_s1_agent_rsp_fifo"
248
Info: router: "mm_interconnect_0" instantiated altera_merlin_router "router"
249
Info: router_002: "mm_interconnect_0" instantiated altera_merlin_router "router_002"
250
Info: hps_0_h2f_axi_master_wr_limiter: "mm_interconnect_0" instantiated altera_merlin_traffic_limiter "hps_0_h2f_axi_master_wr_limiter"
251
Info: Reusing file /home/felipe/Documentos/verilog_projects/SPW_SC/TESTSTRESS/spw_fifo_ulight/ulight_fifo/synthesis/submodules/altera_avalon_sc_fifo.v
252
Info: led_pio_test_s1_burst_adapter: "mm_interconnect_0" instantiated altera_merlin_burst_adapter "led_pio_test_s1_burst_adapter"
253
Info: Reusing file /home/felipe/Documentos/verilog_projects/SPW_SC/TESTSTRESS/spw_fifo_ulight/ulight_fifo/synthesis/submodules/altera_merlin_address_alignment.sv
254
Info: Reusing file /home/felipe/Documentos/verilog_projects/SPW_SC/TESTSTRESS/spw_fifo_ulight/ulight_fifo/synthesis/submodules/altera_avalon_st_pipeline_base.v
255
Info: cmd_demux: "mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"
256
Info: cmd_mux: "mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"
257
Info: rsp_demux: "mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"
258
Info: rsp_mux: "mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"
259
Info: Reusing file /home/felipe/Documentos/verilog_projects/SPW_SC/TESTSTRESS/spw_fifo_ulight/ulight_fifo/synthesis/submodules/altera_merlin_arbitrator.sv
260
Info: avalon_st_adapter: "mm_interconnect_0" instantiated altera_avalon_st_adapter "avalon_st_adapter"
261
Info: border: "hps_io" instantiated altera_interface_generator "border"
262
Info: error_adapter_0: "avalon_st_adapter" instantiated error_adapter "error_adapter_0"
263
Info: ulight_fifo: Done "ulight_fifo" with 32 modules, 89 files
264
Info: qsys-generate succeeded.
265
Info: Finished: Create HDL design files for synthesis

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.