OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [rtl/] [RTL_VB/] [spw_ulight_con_top_x.v] - Blame information for rev 33

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 33 redbear
module spw_ulight_con_top_x(
2
                                input ppll_100_MHZ,
3
                                input ppllclk,
4
                                input reset_spw_n_b,
5
 
6
                                input top_sin,
7
                                input top_din,
8
 
9
                                input top_auto_start,
10
                                input top_link_start,
11
                                input top_link_disable,
12
 
13
                                input top_tx_write,
14
                                input [8:0] top_tx_data,
15
 
16
                                input top_tx_tick,
17
                                input [7:0] top_tx_time,
18
 
19
                                input read_rx_fifo_en,
20
 
21
                                output [8:0] datarx_flag,
22
 
23
                                output tick_out,
24
                                output [7:0] time_out,
25
 
26
                                output top_dout,
27
                                output top_sout,
28
 
29
                                output f_full,
30
                                output f_empty,
31
                                output f_full_rx,
32
                                output f_empty_rx,
33
                                output top_tx_ready_tick,
34
 
35
                                output [5:0]top_fsm,
36
 
37
                                output [5:0]counter_fifo_tx,
38
                                output [5:0]counter_fifo_rx
39
                                //output [13:0] data_info
40
                        );
41
 
42
 
43
 
44
        wire [8:0] datarx_flag_axi;
45
        wire [8:0] datarx_flag_w;
46
        wire buffer_write_w;
47
 
48
        wire [7:0] time_out_axi;
49
 
50
        wire [13:0] monitor_x_axi;
51
        wire [13:0] data_x;
52
        wire rx_buffer_write_mon_x;
53
 
54
        wire credit_error_rx_w,top_send_fct_now_w;
55
 
56
        wire top_tx_write_w,top_tx_ready_w;
57
        wire [8:0] top_tx_data_w;
58
        wire tx_reset_n;
59
 
60
        assign tx_reset_n = (top_fsm != 6'd16 | !reset_spw_n_b)?1'b0:1'b1;
61
 
62
        //assign time_out = time_out_w;
63
        assign datarx_flag = datarx_flag_axi;
64
        //assign data_info = data_x;
65
 
66
        top_spw_ultra_light SPW(
67
 
68
                                        .pclk(ppll_100_MHZ),
69
                                        .ppllclk(ppllclk),
70
                                        .resetn(reset_spw_n_b),
71
 
72
                                        .top_sin(top_sin),
73
                                        .top_din(top_din),
74
 
75
                                        .top_auto_start(top_auto_start),
76
                                        .top_link_start(top_link_start),
77
                                        .top_link_disable(top_link_disable),
78
 
79
                                        .top_tx_write(top_tx_write_w),
80
                                        .top_tx_data(top_tx_data_w),
81
 
82
                                        .top_tx_tick(top_tx_tick),
83
                                        .top_tx_time(top_tx_time),
84
 
85
                                        .credit_error_rx(credit_error_rx_w),
86
                                        .top_send_fct_now(top_send_fct_now_w),
87
 
88
                                        .datarx_flag(datarx_flag_w),
89
                                        .buffer_write(buffer_write_w),
90
 
91
                                        .time_out(time_out),
92
                                        .tick_out(tick_out),
93
 
94
                                        .top_dout(top_dout),
95
                                        .top_sout(top_sout),
96
 
97
                                        .top_tx_ready(top_tx_ready_w),
98
                                        .top_tx_ready_tick(top_tx_ready_tick),
99
 
100
                                        .top_fsm(top_fsm)
101
                                );
102
 
103
 
104
                        fifo_rx  rx_data(
105
                                         .clock(ppll_100_MHZ),
106
                                         .reset(tx_reset_n),
107
                                         .wr_en(buffer_write_w),
108
                                         .rd_en(read_rx_fifo_en),
109
                                         .data_in(datarx_flag_w),
110
                                         .f_full(f_full_rx),
111
                                         .f_empty(f_empty_rx),
112
                                         .open_slot_fct(top_send_fct_now_w),
113
                                         .overflow_credit_error(credit_error_rx_w),
114
                                         .data_out(datarx_flag_axi),
115
                                         .counter(counter_fifo_rx)
116
                                         );
117
 
118
 
119
                        fifo_tx tx_data(
120
                                         .clock(ppll_100_MHZ),
121
                                         .reset(tx_reset_n),
122
                                         .wr_en(top_tx_write),
123
                                         .rd_en(top_tx_ready_w),
124
                                         .data_in(top_tx_data),
125
                                         .f_full(f_full),
126
                                         .f_empty(f_empty),
127
                                         .write_tx(top_tx_write_w),
128
                                         .data_out(top_tx_data_w),
129
                                         .counter(counter_fifo_tx)
130
                                         );
131
 
132
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.