OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [rtl/] [RTL_VB/] [top_spw_ultra_light.v] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 redbear
//+FHDR------------------------------------------------------------------------
2
//Copyright (c) 2013 Latin Group American Integhrated Circuit, Inc. All rights reserved
3
//GLADIC Open Source RTL
4
//-----------------------------------------------------------------------------
5
//FILE NAME      :
6
//DEPARTMENT     : IC Design / Verification
7
//AUTHOR         : Felipe Fernandes da Costa
8
//AUTHOR’S EMAIL :
9
//-----------------------------------------------------------------------------
10
//RELEASE HISTORY
11
//VERSION DATE AUTHOR DESCRIPTION
12
//1.0 YYYY-MM-DD name
13
//-----------------------------------------------------------------------------
14
//KEYWORDS : General file searching keywords, leave blank if none.
15
//-----------------------------------------------------------------------------
16
//PURPOSE  : ECSS_E_ST_50_12C_31_july_2008
17
//-----------------------------------------------------------------------------
18
//PARAMETERS
19
//PARAM NAME            RANGE   : DESCRIPTION : DEFAULT : UNITS
20
//e.g.DATA_WIDTH        [32,16] : width of the data : 32:
21
//-----------------------------------------------------------------------------
22
//REUSE ISSUES
23
//Reset Strategy        :
24
//Clock Domains         :
25
//Critical Timing       :
26
//Test Features         :
27
//Asynchronous I/F      :
28
//Scan Methodology      :
29
//Instantiations        :
30
//Synthesizable (y/n)   :
31
//Other                 :
32
//-FHDR------------------------------------------------------------------------
33
 
34
`timescale 1ns/1ns
35
 
36
module top_spw_ultra_light(
37
 
38
        input pclk,
39
        input ppllclk,
40
        input resetn,
41
 
42
        input top_sin,
43
        input top_din,
44
 
45
        input top_auto_start,
46
        input top_link_start,
47
        input top_link_disable,
48
 
49
        input top_tx_write,
50
        input [8:0] top_tx_data,
51
 
52
        input top_tx_tick,
53
        input [7:0] top_tx_time,
54
 
55
        input credit_error_rx,
56
        input top_send_fct_now,
57
 
58
        output [8:0] datarx_flag,
59
        output buffer_write,
60
 
61
        output [7:0] time_out,
62
        output tick_out,
63
 
64
        output top_dout,
65
        output top_sout,
66
 
67
        output top_tx_ready,
68
        output top_tx_ready_tick,
69
 
70
        output [5:0] top_fsm
71
 
72
        );
73
 
74
        wire resetn_rx;
75
        wire error_rx;
76
 
77
        wire got_bit_rx;
78
        wire got_null_rx;
79
        wire got_nchar_rx;
80
        wire got_time_code_rx;
81
        wire got_fct_rx;
82
 
83
        wire enable_tx;
84
        wire send_null_tx;
85
        wire send_fct_tx;
86
 
87 25 redbear
        wire got_fct_flag_fsm;
88 5 redbear
 
89
        FSM_SPW FSM(
90
                        .pclk(pclk),
91
                        .resetn(resetn),
92
 
93
                        .auto_start(top_auto_start),
94
                        .link_start(top_link_start),
95
                        .link_disable(top_link_disable),
96
 
97
                        .rx_error(error_rx),
98
                        .rx_credit_error(credit_error_rx),
99
                        .rx_got_bit(got_bit_rx),
100
                        .rx_got_null(got_null_rx),
101
                        .rx_got_nchar(got_nchar_rx),
102
                        .rx_got_time_code(got_time_code_rx),
103 25 redbear
                        .rx_got_fct(got_fct_flag_fsm),
104 5 redbear
                        .rx_resetn(resetn_rx),
105
 
106
                        .enable_tx(enable_tx),
107
                        .send_null_tx(send_null_tx),
108
                        .send_fct_tx(send_fct_tx),
109
 
110
                        .fsm_state(top_fsm)
111
 
112
                        );
113
 
114
 
115
        RX_SPW RX(
116
                        .rx_din(top_din),
117
                        .rx_sin(top_sin),
118
                        .rx_resetn(resetn_rx),
119
 
120
                        .rx_error(error_rx),
121
                        .rx_got_bit(got_bit_rx),
122
                        .rx_got_null(got_null_rx),
123
                        .rx_got_nchar(got_nchar_rx),
124
                        .rx_got_time_code(got_time_code_rx),
125
                        .rx_got_fct(got_fct_rx),
126 25 redbear
                        .rx_got_fct_fsm(got_fct_flag_fsm),
127 5 redbear
 
128
                        .rx_data_flag(datarx_flag),
129
                        .rx_buffer_write(buffer_write),
130
 
131
                        .rx_time_out(time_out),
132
                        .rx_tick_out(tick_out)
133
 
134
                         );
135
 
136
        TX_SPW        TX(
137
                        .pclk_tx(ppllclk),
138
 
139
                        .data_tx_i(top_tx_data),
140
                        .txwrite_tx(top_tx_write),
141
 
142
                        .timecode_tx_i(top_tx_time),
143
                        .tickin_tx(top_tx_tick),
144
 
145
                        .enable_tx(enable_tx),
146
                        .send_null_tx(send_null_tx),
147
                        .send_fct_tx(send_fct_tx),
148
 
149
                        .gotfct_tx(got_fct_rx),
150
                        .send_fct_now(top_send_fct_now),
151
 
152 33 redbear
                        .tx_dout_e(top_dout),
153
                        .tx_sout_e(top_sout),
154 5 redbear
 
155
                        .ready_tx_data(top_tx_ready),
156
                        .ready_tx_timecode(top_tx_ready_tick)
157
                        );
158
 
159
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.