OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [rtl/] [RTL_VB/] [tx_spw.v] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 redbear
//+FHDR------------------------------------------------------------------------
2
//Copyright (c) 2013 Latin Group American Integhrated Circuit, Inc. All rights reserved
3
//GLADIC Open Source RTL
4
//-----------------------------------------------------------------------------
5
//FILE NAME      :
6
//DEPARTMENT     : IC Design / Verification
7
//AUTHOR         : Felipe Fernandes da Costa
8
//AUTHOR’S EMAIL :
9
//-----------------------------------------------------------------------------
10
//RELEASE HISTORY
11
//VERSION DATE AUTHOR DESCRIPTION
12
//1.0 YYYY-MM-DD name
13
//-----------------------------------------------------------------------------
14
//KEYWORDS : General file searching keywords, leave blank if none.
15
//-----------------------------------------------------------------------------
16
//PURPOSE  : ECSS_E_ST_50_12C_31_july_2008
17
//-----------------------------------------------------------------------------
18
//PARAMETERS
19
//PARAM NAME            RANGE   : DESCRIPTION : DEFAULT : UNITS
20
//e.g.DATA_WIDTH        [32,16] : width of the DATA : 32:
21
//-----------------------------------------------------------------------------
22
//REUSE ISSUES
23
//Reset Strategy        :
24
//Clock Domains         :
25
//Critical Timing       :
26
//Test Features         :
27
//Asynchronous I/F      :
28
//Scan Methodology      :
29
//Instantiations        :
30
//Synthesizable (y/n)   :
31
//Other                 :
32
//-FHDR------------------------------------------------------------------------
33
 
34
`timescale 1ns/1ns
35
 
36
module TX_SPW (
37
                input pclk_tx,
38
                //
39
                input [8:0] data_tx_i,
40
                input txwrite_tx,
41
                //
42
                input [7:0] timecode_tx_i,
43
                input tickin_tx,
44
                //
45
                input enable_tx,
46
                input send_null_tx,
47
                input send_fct_tx,
48
 
49
                //
50
                input gotfct_tx,
51
                input send_fct_now,
52
                //
53
                //
54 40 redbear
                output ready_tx_data,
55
                output ready_tx_timecode,
56 5 redbear
 
57 40 redbear
                output tx_dout_e,
58
                output tx_sout_e
59
 
60 5 redbear
                );
61 40 redbear
        wire [13:0] timecode_s;
62 5 redbear
 
63 40 redbear
        wire [5:0]  last_type;
64
        wire txdata_flagctrl_tx_last;
65 5 redbear
 
66 40 redbear
        wire [8:0]  tx_data_in;
67
        wire [8:0]  tx_data_in_0;
68
        wire process_data;
69
        wire process_data_0;
70 5 redbear
 
71 40 redbear
        wire last_timein_control_flag_tx;
72 25 redbear
 
73 40 redbear
        wire [7:0]  tx_tcode_in;
74
        wire tcode_rdy_trnsp;
75 25 redbear
 
76 40 redbear
        wire fct_counter_p;
77
        wire [2:0] fct_flag_p;
78 25 redbear
 
79 40 redbear
        wire get_data;
80
        wire get_data_0;
81 25 redbear
 
82 40 redbear
        wire char_sent;
83
        wire fct_sent;
84 5 redbear
 
85 40 redbear
        wire tx_dout;
86
        wire tx_sout;
87 37 redbear
 
88 40 redbear
tx_fsm_m  tx_fsm(
89
                .pclk_tx(pclk_tx),
90
 
91
                .enable_tx(enable_tx),
92
                .send_null_tx(send_null_tx),
93
                .send_fct_tx(send_fct_tx),
94 37 redbear
 
95 40 redbear
                .get_data(get_data),
96
                .get_data_0(get_data_0),
97 38 redbear
 
98 40 redbear
                .tx_data_in(tx_data_in),
99
                .tx_data_in_0(tx_data_in_0),
100
                .process_data(process_data),
101
                .process_data_0(process_data_0),
102 5 redbear
 
103 40 redbear
                .tx_tcode_in(tx_tcode_in),
104
                .tcode_rdy_trnsp(tcode_rdy_trnsp),
105 37 redbear
 
106 40 redbear
                .ready_tx_data(ready_tx_data),
107
                .ready_tx_timecode(ready_tx_timecode),
108 37 redbear
 
109 40 redbear
                .fct_great_than_zero(fct_counter_p),
110 39 redbear
 
111 40 redbear
                .gotfct_tx(gotfct_tx),
112
                .send_fct_now(send_fct_now),
113 39 redbear
 
114 40 redbear
                .tx_dout_e(tx_dout_e),
115
                .tx_sout_e(tx_sout_e)
116 5 redbear
 
117 40 redbear
        );
118 33 redbear
 
119 40 redbear
tx_data_send tx_data_snd(
120
                        .pclk_tx(pclk_tx),
121
                        .send_null_tx(send_null_tx),
122
                        .enable_tx(enable_tx),
123 25 redbear
 
124 40 redbear
                        .get_data(get_data),
125
                        .get_data_0(get_data_0),
126 6 redbear
 
127 40 redbear
                        .timecode_tx_i(timecode_tx_i),
128
                        .tickin_tx(tickin_tx),
129 8 redbear
 
130 40 redbear
                        .data_tx_i(data_tx_i),
131
                        .txwrite_tx(txwrite_tx),
132 5 redbear
 
133 40 redbear
                        .fct_counter_p(fct_counter_p),
134 39 redbear
 
135 40 redbear
                        .tx_data_in(tx_data_in),
136
                        .tx_data_in_0(tx_data_in_0),
137 39 redbear
 
138 40 redbear
                        .process_data(process_data),
139
                        .process_data_0(process_data_0),
140 6 redbear
 
141 40 redbear
                        .tx_tcode_in(tx_tcode_in),
142
                        .tcode_rdy_trnsp(tcode_rdy_trnsp)
143
);
144 5 redbear
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.