OpenCores
URL https://opencores.org/ocsvn/spacewiresystemc/spacewiresystemc/trunk

Subversion Repositories spacewiresystemc

[/] [spacewiresystemc/] [trunk/] [systemC/] [link_sc.h] - Blame information for rev 40

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 redbear
#ifndef CONTROL_SC_H
2
#define CONTROL_SC_H
3
 
4
class Control_SC
5
{
6
        public:
7
        /*Constructor*/
8
        Control_SC();
9
 
10
        /*initialize systemC model*/
11
        virtual void init();
12
 
13
        /*Reset the model*/
14
        virtual bool reset_set();
15
 
16
        /*Run the Env for ammount off time*/
17
        virtual void run_sim();
18
 
19
        /*Tell to SystemC to finish*/
20
        virtual void stop_sim();
21
 
22
        /*get dout */
23
        virtual unsigned int get_value_dout();
24
        /*get sout*/
25
        virtual unsigned int get_value_sout();
26
 
27
        /*set sin*/
28
        virtual void set_rx_sin(unsigned int strobe);
29
        /*set din*/
30
        virtual void set_rx_din(unsigned int data);
31
 
32
        virtual unsigned int get_spw_fsm();
33
 
34
        virtual unsigned int finish_simulation();
35
 
36
        //verilog variables 
37
        virtual bool verilog_linkenable();
38
        virtual bool verilog_autostart();
39
        virtual bool verilog_linkdisable();
40 12 redbear
        virtual float verilog_frequency();
41 5 redbear
 
42
        //tests 
43
        virtual bool start_tx_test();
44
        virtual bool enable_time_code_tx_test();
45
        virtual void end_tx_test();
46
        virtual unsigned int take_data(unsigned int a);
47 40 redbear
        virtual int size_data_test_vlog();
48
        virtual int size_data_test_sc();
49 5 redbear
 
50
        virtual void data_o(unsigned int data, unsigned int pos);
51 40 redbear
        virtual void data_rx_vlog_loopback_o(unsigned int data, unsigned int pos);
52 5 redbear
 
53
        virtual unsigned int clock_tx();
54
 
55
 
56
};
57
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.