OpenCores
URL https://opencores.org/ocsvn/sparc64soc/sparc64soc/trunk

Subversion Repositories sparc64soc

[/] [sparc64soc/] [trunk/] [T1-CPU/] [lsu/] [lsu.v] - Blame information for rev 8

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 dmitryr
// ========== Copyright Header Begin ==========================================
2
// 
3
// OpenSPARC T1 Processor File: lsu.v
4
// Copyright (c) 2006 Sun Microsystems, Inc.  All Rights Reserved.
5
// DO NOT ALTER OR REMOVE COPYRIGHT NOTICES.
6
// 
7
// The above named program is free software; you can redistribute it and/or
8
// modify it under the terms of the GNU General Public
9
// License version 2 as published by the Free Software Foundation.
10
// 
11
// The above named program is distributed in the hope that it will be 
12
// useful, but WITHOUT ANY WARRANTY; without even the implied warranty of
13
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the GNU
14
// General Public License for more details.
15
// 
16
// You should have received a copy of the GNU General Public
17
// License along with this work; if not, write to the Free Software
18
// Foundation, Inc., 51 Franklin St, Fifth Floor, Boston, MA 02110-1301, USA.
19
// 
20
// ========== Copyright Header End ============================================
21
////////////////////////////////////////////////////////////////////////
22
/*
23
//  Description:  Load/Store Unit for Sparc Core
24
*/
25
////////////////////////////////////////////////////////////////////////
26
// Global header file includes
27
////////////////////////////////////////////////////////////////////////
28
`include  "sys.h" // system level definition file which contains the 
29
          // time scale definition
30
 
31
`include        "iop.h"
32
`include        "lsu.h"
33
////////////////////////////////////////////////////////////////////////
34
// Local header file includes / local defines
35
////////////////////////////////////////////////////////////////////////
36
 
37
module lsu ( /*AUTOARG*/
38
   // Outputs
39
   spc_pcx_req_pq, spc_pcx_data_pa, spc_pcx_atom_pq,
40
   spc_efc_dfuse_data, mbist_dcache_data_in, lsu_tlu_wsr_inst_e,
41
   lsu_tlu_ttype_vld_m2, lsu_tlu_ttype_m2, lsu_tlu_tlb_st_inst_m,
42
   lsu_tlu_tlb_ldst_va_m, lsu_tlu_tlb_ld_inst_m,
43
   lsu_tlu_tlb_dmp_va_m, lsu_tlu_tlb_asi_state_m,
44
   lsu_tlu_tlb_access_tid_m, lsu_tlu_thrid_d, lsu_tlu_stb_full_w2,
45
   lsu_tlu_rsr_data_e, lsu_tlu_rs3_data_g, lsu_tlu_pcxpkt_ack,
46
   lsu_tlu_pctxt_m, lsu_tlu_misalign_addr_ldst_atm_m,
47
   lsu_tlu_ldst_va_m, lsu_tlu_l2_dmiss, lsu_tlu_intpkt,
48
   lsu_tlu_early_flush_w, lsu_tlu_early_flush2_w, lsu_tlu_dtlb_done,
49
   lsu_tlu_dside_ctxt_m, lsu_tlu_dmmu_miss_g,
50
   lsu_tlu_defr_trp_taken_g, lsu_tlu_dcache_miss_w2,
51
   lsu_tlu_daccess_excptn_g, lsu_tlu_cpx_vld, lsu_tlu_cpx_req,
52
   lsu_tlu_async_ttype_w2, lsu_tlu_async_ttype_vld_w2,
53
   lsu_tlu_async_tid_w2, lsu_t3_pctxt_state, lsu_t2_pctxt_state,
54
   lsu_t1_pctxt_state, lsu_t0_pctxt_state, lsu_spu_strm_ack_cmplt,
55
   lsu_spu_stb_empty, lsu_spu_ldst_ack, lsu_spu_early_flush_g,
56
   lsu_spu_asi_state_e, lsu_pid_state3, lsu_pid_state2,
57
   lsu_pid_state1, lsu_pid_state0, lsu_mmu_rs3_data_g,
58
   lsu_mmu_flush_pipe_w, lsu_mmu_defr_trp_taken_g, lsu_mamem_mrgn,
59
   lsu_itlb_mrgn, lsu_ifu_tlb_tag_ue, lsu_ifu_tlb_data_ue,
60
   lsu_ifu_tlb_data_su, lsu_ifu_stxa_data, lsu_ifu_stbcnt3,
61
   lsu_ifu_stbcnt2, lsu_ifu_stbcnt1, lsu_ifu_stbcnt0,
62
   lsu_ifu_stallreq, lsu_ifu_pcxpkt_ack_d, lsu_ifu_ldsta_internal_e,
63
   lsu_ifu_ldst_miss_w, lsu_ifu_ldst_cmplt, lsu_ifu_ld_pcxpkt_vld,
64
   lsu_ifu_ld_pcxpkt_tid, lsu_ifu_ld_icache_index,
65
   lsu_ifu_l2_unc_error, lsu_ifu_l2_corr_error, lsu_ifu_itlb_en,
66
   lsu_ifu_io_error, lsu_ifu_icache_en, lsu_ifu_flush_pipe_w,
67
   lsu_ifu_error_tid, lsu_ifu_direct_map_l1,
68
   lsu_ifu_dcache_tag_perror, lsu_ifu_dcache_data_perror,
69
   lsu_ifu_dc_parity_error_w2, lsu_ifu_cpxpkt_vld_i1,
70
   lsu_ifu_cpxpkt_i1, lsu_ifu_asi_vld, lsu_ifu_asi_thrid,
71
   lsu_ifu_asi_state, lsu_ifu_asi_load, lsu_ifu_asi_addr,
72
   lsu_ictag_mrgn, lsu_ffu_stb_full3, lsu_ffu_stb_full2,
73
   lsu_ffu_stb_full1, lsu_ffu_stb_full0, lsu_ffu_st_dtlb_perr_g,
74
   lsu_ffu_ld_vld, lsu_ffu_ld_data, lsu_ffu_flush_pipe_w,
75
   lsu_ffu_blk_asi_e, lsu_ffu_ack, lsu_exu_thr_m,
76
   lsu_exu_st_dtlb_perr_g, lsu_exu_rd_m, lsu_exu_ldst_miss_w2,
77
   lsu_exu_flush_pipe_w, lsu_exu_dfill_vld_w2, lsu_exu_dfill_data_w2,
78
   lsu_dsfsr_din_g, lsu_dmmu_sfsr_trp_wr, lsu_asi_reg3, lsu_asi_reg2,
79
   lsu_asi_reg1, lsu_asi_reg0, ifu_tlu_flush_fd_w,
80
   ifu_tlu_flush_fd3_w, ifu_tlu_flush_fd2_w, bist_ctl_reg_wr_en,
81
   bist_ctl_reg_in, lsu_asi_state, lsu_ifu_err_addr, lsu_sscan_data,
82
   ifu_tlu_inst_vld_m_bf1, lsu_ffu_bld_cnt_w, so0, so1, short_so0,
83
   short_so1, lsu_tlu_nucleus_ctxt_m, lsu_tlu_tte_pg_sz_g,
84
   lsu_tlu_squash_va_oor_m, lsu_tlu_wtchpt_trp_g,
85
   lsu_tlu_daccess_prot_g, lsu_tlu_priv_action_g,
86
   // Inputs
87
   tlu_lsu_tl_zero, tlu_lsu_tid_m, tlu_lsu_stxa_ack_tid,
88
   tlu_lsu_stxa_ack, tlu_lsu_redmode_rst_d1, tlu_lsu_redmode,
89
   tlu_lsu_pstate_priv, tlu_lsu_pstate_cle, tlu_lsu_pstate_am,
90
   tlu_lsu_priv_trap_m, tlu_lsu_pcxpkt, tlu_lsu_ldxa_tid_w2,
91
   tlu_lsu_ldxa_async_data_vld, tlu_lsu_int_ldxa_vld_w2,
92
   tlu_lsu_int_ldxa_data_w2, tlu_lsu_int_ld_ill_va_w2,
93
   tlu_lsu_hpv_priv, tlu_lsu_hpstate_en, tlu_lsu_asi_update_m,
94
   tlu_lsu_asi_m, tlu_idtlb_dmp_thrid_g, tlu_idtlb_dmp_key_g,
95
   tlu_exu_early_flush_pipe_w, tlu_early_flush_pipe_w,
96
   tlu_early_flush_pipe2_w, tlu_dtlb_tte_tag_w2,
97
   tlu_dtlb_tte_data_w2, tlu_dtlb_tag_rd_g, tlu_dtlb_rw_index_vld_g,
98
   tlu_dtlb_rw_index_g, tlu_dtlb_invalidate_all_g,
99
   tlu_dtlb_dmp_vld_g, tlu_dtlb_dmp_sctxt_g, tlu_dtlb_dmp_pctxt_g,
100
   tlu_dtlb_dmp_nctxt_g, tlu_dtlb_dmp_all_g, tlu_dtlb_dmp_actxt_g,
101
   tlu_dtlb_data_rd_g, tlu_dsfsr_flt_vld, testmode_l,
102
   spu_lsu_unc_error_w2, spu_lsu_stxa_ack_tid, spu_lsu_stxa_ack,
103
   spu_lsu_ldxa_tid_w2, spu_lsu_ldxa_illgl_va_w2,
104
   spu_lsu_ldxa_data_w2, spu_lsu_ldxa_data_vld_w2, spu_lsu_int_w2,
105
   sehold, se, pcx_spc_grant_px, mux_drive_disable,
106
   mem_write_disable, mbist_write_data, mbist_dcache_write,
107
   mbist_dcache_word, mbist_dcache_way, mbist_dcache_read,
108
   mbist_dcache_index, ifu_tlu_wsr_inst_d, ifu_tlu_thrid_e,
109
   ifu_tlu_sraddr_d, ifu_tlu_mb_inst_e, ifu_tlu_inst_vld_m,
110
   ifu_tlu_flush_m, ifu_tlu_flsh_inst_e, ifu_lsu_thrid_s,
111
   ifu_lsu_swap_e, ifu_lsu_st_inst_e, ifu_lsu_sign_ext_e,
112
   ifu_lsu_rd_e, ifu_lsu_pref_inst_e, ifu_lsu_pcxreq_d,
113
   ifu_lsu_pcxpkt_e, ifu_lsu_nceen, ifu_lsu_memref_d,
114
   ifu_lsu_ldxa_tid_w2, ifu_lsu_ldxa_illgl_va_w2,
115
   ifu_lsu_ldxa_data_w2, ifu_lsu_ldxa_data_vld_w2, ifu_lsu_ldstub_e,
116
   ifu_lsu_ldst_size_e, ifu_lsu_ldst_fp_e, ifu_lsu_ldst_dbl_e,
117
   ifu_lsu_ld_inst_e, ifu_lsu_inv_clear, ifu_lsu_imm_asi_vld_d,
118
   ifu_lsu_imm_asi_d, ifu_lsu_ibuf_busy, ifu_lsu_fwd_wr_ack,
119
   ifu_lsu_fwd_data_vld, ifu_lsu_destid_s, ifu_lsu_casa_e,
120
   ifu_lsu_asi_rd_unc, ifu_lsu_asi_ack, ifu_lsu_alt_space_e,
121
   ifu_lsu_alt_space_d, grst_l, gdbginit_l, ffu_lsu_kill_fst_w,
122
   ffu_lsu_fpop_rq_vld, ffu_lsu_blk_st_va_e, ffu_lsu_blk_st_e,
123
   exu_tlu_va_oor_m, exu_tlu_misalign_addr_jmpl_rtn_m,
124
   exu_lsu_rs3_data_e, exu_lsu_rs2_data_e, efc_spc_fuse_clk2,
125
   efc_spc_fuse_clk1, efc_spc_dfuse_dshift, efc_spc_dfuse_data,
126
   efc_spc_dfuse_ashift, ctu_sscan_tid, const_cpuid, clk,
127
   bist_ctl_reg_out, arst_l, cpx_spc_data_cx, spu_lsu_ldst_pckt,
128
   exu_lsu_ldst_va_e, exu_lsu_early_va_e, ffu_lsu_data, si0, si1,
129
   short_si1, short_si0, exu_tlu_wsr_data_m
130
   );
131
 
132
/*AUTOINPUT*/
133
// Beginning of automatic inputs (from unused autoinst inputs)
134
input                   arst_l;                 // To qctl1 of lsu_qctl1.v, ...
135
input [10:0]            bist_ctl_reg_out;       // To dctldp of lsu_dctldp.v
136
input                   clk;                    // To qctl1 of lsu_qctl1.v, ...
137
input [2:0]             const_cpuid;            // To qctl2 of lsu_qctl2.v, ...
138
input [3:0]             ctu_sscan_tid;          // To dctl of lsu_dctl.v
139
input                   efc_spc_dfuse_ashift;   // To dcdhdr of cmp_sram_redhdr.v
140
input                   efc_spc_dfuse_data;     // To dcdhdr of cmp_sram_redhdr.v
141
input                   efc_spc_dfuse_dshift;   // To dcdhdr of cmp_sram_redhdr.v
142
input                   efc_spc_fuse_clk1;      // To dcdhdr of cmp_sram_redhdr.v, ...
143
input                   efc_spc_fuse_clk2;      // To dcdhdr of cmp_sram_redhdr.v
144
input [63:0]            exu_lsu_rs2_data_e;     // To stb_rwdp of lsu_stb_rwdp.v
145
input [63:0]            exu_lsu_rs3_data_e;     // To excpctl of lsu_excpctl.v, ...
146
input                   exu_tlu_misalign_addr_jmpl_rtn_m;// To excpctl of lsu_excpctl.v
147
input                   exu_tlu_va_oor_m;       // To excpctl of lsu_excpctl.v
148
input                   ffu_lsu_blk_st_e;       // To dctl of lsu_dctl.v, ...
149
input [5:3]             ffu_lsu_blk_st_va_e;    // To stb_rwctl of lsu_stb_rwctl.v
150
input                   ffu_lsu_fpop_rq_vld;    // To qctl1 of lsu_qctl1.v
151
input                   ffu_lsu_kill_fst_w;     // To stb_rwctl of lsu_stb_rwctl.v
152
input                   gdbginit_l;             // To qctl1 of lsu_qctl1.v, ...
153
input                   grst_l;                 // To qctl2 of lsu_qctl2.v, ...
154
input                   ifu_lsu_alt_space_d;    // To dctl of lsu_dctl.v
155
input                   ifu_lsu_alt_space_e;    // To qctl1 of lsu_qctl1.v, ...
156
input                   ifu_lsu_asi_ack;        // To dctl of lsu_dctl.v
157
input                   ifu_lsu_asi_rd_unc;     // To dctl of lsu_dctl.v
158
input                   ifu_lsu_casa_e;         // To qctl1 of lsu_qctl1.v, ...
159
input [2:0]             ifu_lsu_destid_s;       // To qctl1 of lsu_qctl1.v
160
input                   ifu_lsu_fwd_data_vld;   // To qctl1 of lsu_qctl1.v, ...
161
input                   ifu_lsu_fwd_wr_ack;     // To qctl2 of lsu_qctl2.v
162
input                   ifu_lsu_ibuf_busy;      // To qctl2 of lsu_qctl2.v
163
input [7:0]             ifu_lsu_imm_asi_d;      // To dctldp of lsu_dctldp.v
164
input                   ifu_lsu_imm_asi_vld_d;  // To dctldp of lsu_dctldp.v
165
input                   ifu_lsu_inv_clear;      // To qctl2 of lsu_qctl2.v
166
input                   ifu_lsu_ld_inst_e;      // To qctl1 of lsu_qctl1.v, ...
167
input                   ifu_lsu_ldst_dbl_e;     // To qctl1 of lsu_qctl1.v, ...
168
input                   ifu_lsu_ldst_fp_e;      // To qctl1 of lsu_qctl1.v, ...
169
input [1:0]             ifu_lsu_ldst_size_e;    // To dctl of lsu_dctl.v, ...
170
input                   ifu_lsu_ldstub_e;       // To dctl of lsu_dctl.v, ...
171
input                   ifu_lsu_ldxa_data_vld_w2;// To dctl of lsu_dctl.v
172
input [63:0]            ifu_lsu_ldxa_data_w2;   // To qdp1 of lsu_qdp1.v
173
input                   ifu_lsu_ldxa_illgl_va_w2;// To dctl of lsu_dctl.v
174
input [1:0]             ifu_lsu_ldxa_tid_w2;    // To dctl of lsu_dctl.v
175
input                   ifu_lsu_memref_d;       // To qctl2 of lsu_qctl2.v, ...
176
input [3:0]             ifu_lsu_nceen;          // To excpctl of lsu_excpctl.v, ...
177
input [51:0]            ifu_lsu_pcxpkt_e;       // To qctl1 of lsu_qctl1.v, ...
178
input                   ifu_lsu_pcxreq_d;       // To qctl1 of lsu_qctl1.v
179
input                   ifu_lsu_pref_inst_e;    // To qctl1 of lsu_qctl1.v, ...
180
input [4:0]             ifu_lsu_rd_e;           // To qctl2 of lsu_qctl2.v, ...
181
input                   ifu_lsu_sign_ext_e;     // To dctl of lsu_dctl.v
182
input                   ifu_lsu_st_inst_e;      // To excpctl of lsu_excpctl.v, ...
183
input                   ifu_lsu_swap_e;         // To dctl of lsu_dctl.v, ...
184
input [1:0]             ifu_lsu_thrid_s;        // To dctl of lsu_dctl.v
185
input                   ifu_tlu_flsh_inst_e;    // To dctl of lsu_dctl.v, ...
186
input                   ifu_tlu_flush_m;        // To dctl of lsu_dctl.v
187
input                   ifu_tlu_inst_vld_m;     // To qctl1 of lsu_qctl1.v, ...
188
input                   ifu_tlu_mb_inst_e;      // To dctl of lsu_dctl.v
189
input [6:0]             ifu_tlu_sraddr_d;       // To dctl of lsu_dctl.v
190
input [1:0]             ifu_tlu_thrid_e;        // To qctl1 of lsu_qctl1.v, ...
191
input                   ifu_tlu_wsr_inst_d;     // To dctl of lsu_dctl.v
192
input [6:0]             mbist_dcache_index;     // To dctl of lsu_dctl.v
193
input                   mbist_dcache_read;      // To dctl of lsu_dctl.v
194
input [1:0]             mbist_dcache_way;       // To dctl of lsu_dctl.v
195
input                   mbist_dcache_word;      // To dctl of lsu_dctl.v
196
input                   mbist_dcache_write;     // To dctl of lsu_dctl.v
197
input [7:0]             mbist_write_data;       // To qdp2 of lsu_qdp2.v
198
input                   mem_write_disable;      // To dcache of bw_r_dcd.v, ...
199
input                   mux_drive_disable;      // To qctl1 of lsu_qctl1.v, ...
200
input [4:0]             pcx_spc_grant_px;       // To qctl1 of lsu_qctl1.v
201
input                   se;                     // To qctl1 of lsu_qctl1.v, ...
202
input                   sehold;                 // To qctl1 of lsu_qctl1.v, ...
203
input                   spu_lsu_int_w2;         // To dctl of lsu_dctl.v
204
input                   spu_lsu_ldxa_data_vld_w2;// To dctl of lsu_dctl.v
205
input [63:0]            spu_lsu_ldxa_data_w2;   // To qdp1 of lsu_qdp1.v
206
input                   spu_lsu_ldxa_illgl_va_w2;// To dctl of lsu_dctl.v
207
input [1:0]             spu_lsu_ldxa_tid_w2;    // To dctl of lsu_dctl.v
208
input                   spu_lsu_stxa_ack;       // To dctl of lsu_dctl.v
209
input [1:0]             spu_lsu_stxa_ack_tid;   // To dctl of lsu_dctl.v
210
input                   spu_lsu_unc_error_w2;   // To dctl of lsu_dctl.v
211
input                   testmode_l;             // To dcdhdr of cmp_sram_redhdr.v
212
input [3:0]             tlu_dsfsr_flt_vld;      // To excpctl of lsu_excpctl.v
213
input                   tlu_dtlb_data_rd_g;     // To dctl of lsu_dctl.v
214
input                   tlu_dtlb_dmp_actxt_g;   // To dctl of lsu_dctl.v, ...
215
input                   tlu_dtlb_dmp_all_g;     // To dctl of lsu_dctl.v
216
input                   tlu_dtlb_dmp_nctxt_g;   // To dctl of lsu_dctl.v
217
input                   tlu_dtlb_dmp_pctxt_g;   // To dctl of lsu_dctl.v
218
input                   tlu_dtlb_dmp_sctxt_g;   // To dctl of lsu_dctl.v
219
input                   tlu_dtlb_dmp_vld_g;     // To dctl of lsu_dctl.v
220
input                   tlu_dtlb_invalidate_all_g;// To dctl of lsu_dctl.v
221
input [5:0]             tlu_dtlb_rw_index_g;    // To dctl of lsu_dctl.v, ...
222
input                   tlu_dtlb_rw_index_vld_g;// To dctl of lsu_dctl.v
223
input                   tlu_dtlb_tag_rd_g;      // To dctl of lsu_dctl.v
224
input [42:0]            tlu_dtlb_tte_data_w2;   // To dtlb of bw_r_tlb.v
225
input [58:0]            tlu_dtlb_tte_tag_w2;    // To dctldp of lsu_dctldp.v, ...
226
input                   tlu_early_flush_pipe2_w;// To qctl1 of lsu_qctl1.v, ...
227
input                   tlu_early_flush_pipe_w; // To excpctl of lsu_excpctl.v
228
input                   tlu_exu_early_flush_pipe_w;// To stb_rwctl of lsu_stb_rwctl.v
229
input [40:0]            tlu_idtlb_dmp_key_g;    // To dtlb of bw_r_tlb.v
230
input [1:0]             tlu_idtlb_dmp_thrid_g;  // To dctl of lsu_dctl.v
231
input [7:0]             tlu_lsu_asi_m;          // To dctldp of lsu_dctldp.v
232
input                   tlu_lsu_asi_update_m;   // To dctl of lsu_dctl.v
233
input [3:0]             tlu_lsu_hpstate_en;     // To dctl of lsu_dctl.v
234
input [3:0]             tlu_lsu_hpv_priv;       // To dctl of lsu_dctl.v
235
input                   tlu_lsu_int_ld_ill_va_w2;// To dctl of lsu_dctl.v
236
input [63:0]            tlu_lsu_int_ldxa_data_w2;// To qdp1 of lsu_qdp1.v
237
input                   tlu_lsu_int_ldxa_vld_w2;// To dctl of lsu_dctl.v
238
input                   tlu_lsu_ldxa_async_data_vld;// To dctl of lsu_dctl.v
239
input [1:0]             tlu_lsu_ldxa_tid_w2;    // To dctl of lsu_dctl.v
240
input [25:0]            tlu_lsu_pcxpkt;         // To qctl1 of lsu_qctl1.v, ...
241
input                   tlu_lsu_priv_trap_m;    // To excpctl of lsu_excpctl.v
242
input [3:0]             tlu_lsu_pstate_am;      // To excpctl of lsu_excpctl.v, ...
243
input [3:0]             tlu_lsu_pstate_cle;     // To excpctl of lsu_excpctl.v, ...
244
input [3:0]             tlu_lsu_pstate_priv;    // To excpctl of lsu_excpctl.v
245
input [3:0]             tlu_lsu_redmode;        // To dctl of lsu_dctl.v
246
input [3:0]             tlu_lsu_redmode_rst_d1; // To dctl of lsu_dctl.v
247
input                   tlu_lsu_stxa_ack;       // To dctl of lsu_dctl.v
248
input [1:0]             tlu_lsu_stxa_ack_tid;   // To dctl of lsu_dctl.v
249
input [1:0]             tlu_lsu_tid_m;          // To dctl of lsu_dctl.v
250
input [3:0]             tlu_lsu_tl_zero;        // To dctl of lsu_dctl.v
251
// End of automatics
252
/*AUTOOUTPUT*/
253
// Beginning of automatic outputs (from unused autoinst outputs)
254
output [6:0]            bist_ctl_reg_in;        // From dctldp of lsu_dctldp.v
255
output                  bist_ctl_reg_wr_en;     // From dctl of lsu_dctl.v
256
output                  ifu_tlu_flush_fd2_w;    // From dctl of lsu_dctl.v
257
output                  ifu_tlu_flush_fd3_w;    // From dctl of lsu_dctl.v
258
output                  ifu_tlu_flush_fd_w;     // From dctl of lsu_dctl.v
259
output [7:0]            lsu_asi_reg0;           // From dctldp of lsu_dctldp.v
260
output [7:0]            lsu_asi_reg1;           // From dctldp of lsu_dctldp.v
261
output [7:0]            lsu_asi_reg2;           // From dctldp of lsu_dctldp.v
262
output [7:0]            lsu_asi_reg3;           // From dctldp of lsu_dctldp.v
263
output [3:0]            lsu_dmmu_sfsr_trp_wr;   // From excpctl of lsu_excpctl.v
264
output [23:0]           lsu_dsfsr_din_g;        // From excpctl of lsu_excpctl.v
265
output [63:0]           lsu_exu_dfill_data_w2;  // From dcdp of lsu_dcdp.v
266
output                  lsu_exu_dfill_vld_w2;   // From dctl of lsu_dctl.v
267
output                  lsu_exu_flush_pipe_w;   // From excpctl of lsu_excpctl.v
268
output                  lsu_exu_ldst_miss_w2;   // From dctl of lsu_dctl.v
269
output [4:0]            lsu_exu_rd_m;           // From qctl2 of lsu_qctl2.v
270
output                  lsu_exu_st_dtlb_perr_g; // From excpctl of lsu_excpctl.v
271
output [1:0]            lsu_exu_thr_m;          // From dctl of lsu_dctl.v
272
output                  lsu_ffu_ack;            // From qctl1 of lsu_qctl1.v
273
output                  lsu_ffu_blk_asi_e;      // From dctl of lsu_dctl.v
274
output                  lsu_ffu_flush_pipe_w;   // From excpctl of lsu_excpctl.v
275
output [63:0]           lsu_ffu_ld_data;        // From dcdp of lsu_dcdp.v
276
output                  lsu_ffu_ld_vld;         // From dctl of lsu_dctl.v
277
output                  lsu_ffu_st_dtlb_perr_g; // From excpctl of lsu_excpctl.v
278
output                  lsu_ffu_stb_full0;      // From stb_rwctl of lsu_stb_rwctl.v
279
output                  lsu_ffu_stb_full1;      // From stb_rwctl of lsu_stb_rwctl.v
280
output                  lsu_ffu_stb_full2;      // From stb_rwctl of lsu_stb_rwctl.v
281
output                  lsu_ffu_stb_full3;      // From stb_rwctl of lsu_stb_rwctl.v
282
output [3:0]            lsu_ictag_mrgn;         // From dctldp of lsu_dctldp.v
283
output [17:0]           lsu_ifu_asi_addr;       // From dctldp of lsu_dctldp.v
284
output                  lsu_ifu_asi_load;       // From dctl of lsu_dctl.v
285
output [7:0]            lsu_ifu_asi_state;      // From dctldp of lsu_dctldp.v
286
output [1:0]            lsu_ifu_asi_thrid;      // From dctl of lsu_dctl.v
287
output                  lsu_ifu_asi_vld;        // From dctl of lsu_dctl.v
288
output [`CPX_VLD-1:0]   lsu_ifu_cpxpkt_i1;      // From qdp2 of lsu_qdp2.v
289
output                  lsu_ifu_cpxpkt_vld_i1;  // From qctl2 of lsu_qctl2.v
290
output                  lsu_ifu_dc_parity_error_w2;// From dctl of lsu_dctl.v
291
output                  lsu_ifu_dcache_data_perror;// From dctl of lsu_dctl.v
292
output                  lsu_ifu_dcache_tag_perror;// From dctl of lsu_dctl.v
293
output                  lsu_ifu_direct_map_l1;  // From dctldp of lsu_dctldp.v
294
output [1:0]            lsu_ifu_error_tid;      // From dctl of lsu_dctl.v
295
output                  lsu_ifu_flush_pipe_w;   // From excpctl of lsu_excpctl.v
296
output [3:0]            lsu_ifu_icache_en;      // From dctl of lsu_dctl.v
297
output                  lsu_ifu_io_error;       // From dctl of lsu_dctl.v
298
output [3:0]            lsu_ifu_itlb_en;        // From dctl of lsu_dctl.v
299
output                  lsu_ifu_l2_corr_error;  // From dctl of lsu_dctl.v
300
output                  lsu_ifu_l2_unc_error;   // From dctl of lsu_dctl.v
301
output [11:5]           lsu_ifu_ld_icache_index;// From qdp1 of lsu_qdp1.v
302
output [1:0]            lsu_ifu_ld_pcxpkt_tid;  // From qdp1 of lsu_qdp1.v
303
output                  lsu_ifu_ld_pcxpkt_vld;  // From qctl1 of lsu_qctl1.v
304
output [3:0]            lsu_ifu_ldst_cmplt;     // From dctl of lsu_dctl.v
305
output                  lsu_ifu_ldst_miss_w;    // From dctl of lsu_dctl.v
306
output                  lsu_ifu_ldsta_internal_e;// From dctl of lsu_dctl.v
307
output                  lsu_ifu_pcxpkt_ack_d;   // From qctl1 of lsu_qctl1.v
308
output                  lsu_ifu_stallreq;       // From qctl2 of lsu_qctl2.v
309
output [3:0]            lsu_ifu_stbcnt0;        // From stb_rwctl of lsu_stb_rwctl.v
310
output [3:0]            lsu_ifu_stbcnt1;        // From stb_rwctl of lsu_stb_rwctl.v
311
output [3:0]            lsu_ifu_stbcnt2;        // From stb_rwctl of lsu_stb_rwctl.v
312
output [3:0]            lsu_ifu_stbcnt3;        // From stb_rwctl of lsu_stb_rwctl.v
313
output [47:0]           lsu_ifu_stxa_data;      // From qdp1 of lsu_qdp1.v
314
output                  lsu_ifu_tlb_data_su;    // From excpctl of lsu_excpctl.v
315
output                  lsu_ifu_tlb_data_ue;    // From excpctl of lsu_excpctl.v
316
output                  lsu_ifu_tlb_tag_ue;     // From excpctl of lsu_excpctl.v
317
output [7:0]            lsu_itlb_mrgn;          // From dctldp of lsu_dctldp.v
318
output [3:0]            lsu_mamem_mrgn;         // From dctldp of lsu_dctldp.v
319
output                  lsu_mmu_defr_trp_taken_g;// From excpctl of lsu_excpctl.v
320
output                  lsu_mmu_flush_pipe_w;   // From excpctl of lsu_excpctl.v
321
output [63:0]           lsu_mmu_rs3_data_g;     // From qdp1 of lsu_qdp1.v
322
output [2:0]            lsu_pid_state0;         // From dctldp of lsu_dctldp.v
323
output [2:0]            lsu_pid_state1;         // From dctldp of lsu_dctldp.v
324
output [2:0]            lsu_pid_state2;         // From dctldp of lsu_dctldp.v
325
output [2:0]            lsu_pid_state3;         // From dctldp of lsu_dctldp.v
326
output [7:0]            lsu_spu_asi_state_e;    // From dctldp of lsu_dctldp.v
327
output                  lsu_spu_early_flush_g;  // From excpctl of lsu_excpctl.v
328
output                  lsu_spu_ldst_ack;       // From qctl1 of lsu_qctl1.v
329
output [3:0]            lsu_spu_stb_empty;      // From stb_rwctl of lsu_stb_rwctl.v
330
output [1:0]            lsu_spu_strm_ack_cmplt; // From qctl2 of lsu_qctl2.v
331
output [12:0]           lsu_t0_pctxt_state;     // From dctldp of lsu_dctldp.v
332
output [12:0]           lsu_t1_pctxt_state;     // From dctldp of lsu_dctldp.v
333
output [12:0]           lsu_t2_pctxt_state;     // From dctldp of lsu_dctldp.v
334
output [12:0]           lsu_t3_pctxt_state;     // From dctldp of lsu_dctldp.v
335
output [1:0]            lsu_tlu_async_tid_w2;   // From dctl of lsu_dctl.v
336
output                  lsu_tlu_async_ttype_vld_w2;// From dctl of lsu_dctl.v
337
output [6:0]            lsu_tlu_async_ttype_w2; // From dctl of lsu_dctl.v
338
output [3:0]            lsu_tlu_cpx_req;        // From qctl2 of lsu_qctl2.v
339
output                  lsu_tlu_cpx_vld;        // From qctl2 of lsu_qctl2.v
340
output                  lsu_tlu_daccess_excptn_g;// From excpctl of lsu_excpctl.v
341
output [3:0]            lsu_tlu_dcache_miss_w2; // From qctl1 of lsu_qctl1.v
342
output                  lsu_tlu_defr_trp_taken_g;// From excpctl of lsu_excpctl.v
343
output                  lsu_tlu_dmmu_miss_g;    // From excpctl of lsu_excpctl.v
344
output [12:0]           lsu_tlu_dside_ctxt_m;   // From dctldp of lsu_dctldp.v
345
output                  lsu_tlu_dtlb_done;      // From dctl of lsu_dctl.v
346
output                  lsu_tlu_early_flush2_w; // From excpctl of lsu_excpctl.v
347
output                  lsu_tlu_early_flush_w;  // From excpctl of lsu_excpctl.v
348
output [17:0]           lsu_tlu_intpkt;         // From qctl2 of lsu_qctl2.v
349
output [3:0]            lsu_tlu_l2_dmiss;       // From qctl2 of lsu_qctl2.v
350
output [9:0]            lsu_tlu_ldst_va_m;      // From dctldp of lsu_dctldp.v
351
output                  lsu_tlu_misalign_addr_ldst_atm_m;// From excpctl of lsu_excpctl.v
352
output [12:0]           lsu_tlu_pctxt_m;        // From dctldp of lsu_dctldp.v
353
output                  lsu_tlu_pcxpkt_ack;     // From qctl1 of lsu_qctl1.v
354
output [63:0]           lsu_tlu_rs3_data_g;     // From qdp1 of lsu_qdp1.v
355
output [7:0]            lsu_tlu_rsr_data_e;     // From dctldp of lsu_dctldp.v
356
output [3:0]            lsu_tlu_stb_full_w2;    // From stb_ctl0 of lsu_stb_ctl.v, ...
357
output [1:0]            lsu_tlu_thrid_d;        // From dctl of lsu_dctl.v
358
output [1:0]            lsu_tlu_tlb_access_tid_m;// From dctl of lsu_dctl.v
359
output [7:0]            lsu_tlu_tlb_asi_state_m;// From dctldp of lsu_dctldp.v
360
output [47:13]          lsu_tlu_tlb_dmp_va_m;   // From dctldp of lsu_dctldp.v
361
output                  lsu_tlu_tlb_ld_inst_m;  // From dctl of lsu_dctl.v
362
output [10:0]           lsu_tlu_tlb_ldst_va_m;  // From dctldp of lsu_dctldp.v
363
output                  lsu_tlu_tlb_st_inst_m;  // From dctl of lsu_dctl.v
364
output [8:0]            lsu_tlu_ttype_m2;       // From excpctl of lsu_excpctl.v
365
output                  lsu_tlu_ttype_vld_m2;   // From excpctl of lsu_excpctl.v
366
output                  lsu_tlu_wsr_inst_e;     // From dctl of lsu_dctl.v
367
output [71:0]           mbist_dcache_data_in;   // From dcdp of lsu_dcdp.v
368
output                  spc_efc_dfuse_data;     // From dcdhdr of cmp_sram_redhdr.v
369
output                  spc_pcx_atom_pq;        // From qctl1 of lsu_qctl1.v
370
output [`PCX_WIDTH-1:0] spc_pcx_data_pa;        // From qdp1 of lsu_qdp1.v
371
output [4:0]            spc_pcx_req_pq;         // From qctl1 of lsu_qctl1.v
372
// End of automatics
373
/*AUTOWIRE*/
374
// Beginning of automatic wires (for undeclared instantiated-module outputs)
375
 
376
wire                    as_if_user_asi_m;       // From dctl of lsu_dctl.v
377
wire [7:0]              asi_d;                  // From dctldp of lsu_dctldp.v
378
wire                    asi_internal_m;         // From dctl of lsu_dctl.v
379
wire [3:0]              asi_state_wr_thrd;      // From dctl of lsu_dctl.v
380
wire                    asi_tte_data_perror;    // From excpctl of lsu_excpctl.v
381
wire                    asi_tte_tag_perror;     // From excpctl of lsu_excpctl.v
382
wire [5:0]              async_tlb_index;        // From dctl of lsu_dctl.v
383
wire                    atomic_asi_m;           // From dctl of lsu_dctl.v
384
wire                    atomic_m;               // From dctl of lsu_dctl.v
385
wire                    binit_quad_asi_m;       // From dctl of lsu_dctl.v
386
wire                    bist_tap_wr_en;         // From dctl of lsu_dctl.v
387
wire                    blk_asi_m;              // From dctl of lsu_dctl.v
388
wire                    cache_hit;              // From dtlb of bw_r_tlb.v
389
wire [3:0]              cache_way_hit;          // From dtlb of bw_r_tlb.v
390
wire [3:0]              cache_way_hit_buf1;     // From tlbdp of lsu_tlbdp.v
391
wire [3:0]              cache_way_hit_buf2;     // From tlbdp of lsu_tlbdp.v
392
wire                    cam_real_m;             // From dctl of lsu_dctl.v
393
wire                    cpx_fwd_pkt_en_cx;      // From qctl2 of lsu_qctl2.v
394
wire                    cpx_st_ack_tid0;        // From qctl2 of lsu_qctl2.v
395
wire                    cpx_st_ack_tid1;        // From qctl2 of lsu_qctl2.v
396
wire                    cpx_st_ack_tid2;        // From qctl2 of lsu_qctl2.v
397
wire                    cpx_st_ack_tid3;        // From qctl2 of lsu_qctl2.v
398
wire                    data_rd_vld_g;          // From dctl of lsu_dctl.v
399
wire                    dc_direct_map;          // From dctldp of lsu_dctldp.v
400
wire [63:0]             dcache_alt_data_w0_m;   // From qdp1 of lsu_qdp1.v
401
wire                    dcache_alt_mx_sel_e;    // From dctl of lsu_dctl.v
402
wire                    dcache_alt_mx_sel_e_bf; // From dctl of lsu_dctl.v
403
wire                    dcache_arry_data_sel_m; // From dctl of lsu_dctl.v
404
wire [15:0]             dcache_byte_wr_en_e;    // From dctl of lsu_dctl.v
405
wire [7:0]              dcache_iob_addr_e;      // From qdp2 of lsu_qdp2.v
406
wire [7:0]              dcache_rdata_msb_w0_m;  // From dcache of bw_r_dcd.v
407
wire [7:0]              dcache_rdata_msb_w1_m;  // From dcache of bw_r_dcd.v
408
wire [7:0]              dcache_rdata_msb_w2_m;  // From dcache of bw_r_dcd.v
409
wire [7:0]              dcache_rdata_msb_w3_m;  // From dcache of bw_r_dcd.v
410
wire [63:0]             dcache_rdata_wb;        // From dcache of bw_r_dcd.v
411
wire [63:0]             dcache_rdata_wb_buf;    // From dcdp of lsu_dcdp.v
412
wire                    dcache_rparity_err_wb;  // From dcache of bw_r_dcd.v
413
wire [7:0]              dcache_rparity_wb;      // From dcache of bw_r_dcd.v
414
wire                    dcache_rvld_e;          // From dctl of lsu_dctl.v
415
wire [1:0]              dcd_fuse_repair_en;     // From dcache of bw_r_dcd.v
416
wire [7:0]              dcd_fuse_repair_value;  // From dcache of bw_r_dcd.v
417
wire                    dctl_rst_l;             // From dctl of lsu_dctl.v
418
wire                    dfill_tlb_asi_e;        // From dctl of lsu_dctl.v
419
wire                    dfq_byp_ff_en;          // From qctl2 of lsu_qctl2.v
420
wire [3:0]              dfq_byp_sel;            // From qctl2 of lsu_qctl2.v
421
wire [4:0]              dfq_rptr;               // From qctl2 of lsu_qctl2.v
422
wire                    dfq_rptr_vld;           // From qctl2 of lsu_qctl2.v
423
wire [1:0]              dfq_tid;                // From qdp2 of lsu_qdp2.v
424
wire [4:0]              dfq_wptr;               // From qctl2 of lsu_qctl2.v
425
wire                    dfq_wptr_vld;           // From qctl2 of lsu_qctl2.v
426
wire [3:0]              dfture_tap_rd_en;       // From dctl of lsu_dctl.v
427
wire                    dfture_tap_wr_mx_sel;   // From dctl of lsu_dctl.v
428
wire [32:0]             dtag_rdata_w0_m;        // From dtag of bw_r_idct.v
429
wire [32:0]             dtag_rdata_w1_m;        // From dtag of bw_r_idct.v
430
wire [32:0]             dtag_rdata_w2_m;        // From dtag of bw_r_idct.v
431
wire [32:0]             dtag_rdata_w3_m;        // From dtag of bw_r_idct.v
432
wire                    dtlb_bypass_m;          // From dctl of lsu_dctl.v
433
wire [15:0]             dva_bit_wr_en_e;        // From dctl of lsu_dctl.v
434
wire                    dva_din_e;              // From dctl of lsu_dctl.v
435
wire [4:0]              dva_snp_addr_e;         // From qctl2 of lsu_qctl2.v
436
wire [15:0]             dva_snp_bit_wr_en_e;    // From qctl2 of lsu_qctl2.v
437
wire                    dva_svld_e;             // From qctl2 of lsu_qctl2.v
438
wire [3:0]              dva_vld_m;              // From dva of bw_r_rf16x32.v
439
wire [3:0]              dva_vld_m_bf;           // From dctl of lsu_dctl.v
440
wire [10:6]             dva_wr_adr_e;           // From dctl of lsu_dctl.v
441
wire                    flsh_inst_m;            // From stb_rwctl of lsu_stb_rwctl.v
442
wire                    fp_ldst_m;              // From dctl of lsu_dctl.v
443
wire [1:0]              fuse_dcd_repair_en;     // From dcdhdr of cmp_sram_redhdr.v
444
wire [7:0]              fuse_dcd_repair_value;  // From dcdhdr of cmp_sram_redhdr.v
445
wire [5:0]              fuse_dcd_rid;           // From dcdhdr of cmp_sram_redhdr.v
446
wire                    fuse_dcd_wren;          // From dcdhdr of cmp_sram_redhdr.v
447
wire [2:0]              fwd_int_fp_pcx_mx_sel;  // From qctl1 of lsu_qctl1.v
448
wire                    hpstate_en_m;           // From dctl of lsu_dctl.v
449
wire                    hpv_priv_m;             // From dctl of lsu_dctl.v
450
wire                    ifill_tlb_asi_e;        // From dctl of lsu_dctl.v
451
wire                    ifu_lsu_flush_w;        // From dctl of lsu_dctl.v
452
wire                    ifu_tlu_inst_vld_m_bf2; // From stb_rwctl of lsu_stb_rwctl.v
453
wire                    imiss_pcx_mx_sel;       // From qctl1 of lsu_qctl1.v
454
wire                    l2fill_vld_m;           // From dctl of lsu_dctl.v
455
wire [3:0]              lctl_rst;               // From dctl of lsu_dctl.v
456
wire [`LMQ_WIDTH-1:40]  ld_pcx_pkt_g;           // From dctl of lsu_dctl.v
457
wire [1:0]              ld_pcx_thrd;            // From qctl1 of lsu_qctl1.v
458
wire [2:0]              ld_rawp_st_ackid_w2;    // From stb_rwctl of lsu_stb_rwctl.v
459
wire                    ld_rawp_st_ced_w2;      // From stb_rwctl of lsu_stb_rwctl.v
460
wire                    ld_sec_active;          // From qctl2 of lsu_qctl2.v
461
wire                    ld_sec_hit_thrd0;       // From qdp1 of lsu_qdp1.v
462
wire                    ld_sec_hit_thrd1;       // From qdp1 of lsu_qdp1.v
463
wire                    ld_sec_hit_thrd2;       // From qdp1 of lsu_qdp1.v
464
wire                    ld_sec_hit_thrd3;       // From qdp1 of lsu_qdp1.v
465
wire                    ld_stb_full_raw_w2;     // From qctl1 of lsu_qctl1.v
466
wire [3:0]              ld_thrd_byp_mxsel_m;    // From dctl of lsu_dctl.v
467
wire                    lda_internal_m;         // From dctl of lsu_dctl.v
468
wire                    ldd_in_dfq_out;         // From qctl2 of lsu_qctl2.v
469
wire                    ldiagctl_wr_en;         // From dctl of lsu_dctl.v
470
wire                    ldst_dbl_m;             // From dctl of lsu_dctl.v
471
wire [1:0]              ldst_sz_m;              // From dctl of lsu_dctl.v
472
wire                    ldxa_internal;          // From dctl of lsu_dctl.v
473
wire [1:0]              lmq0_byp_misc_sz;       // From qdp1 of lsu_qdp1.v
474
wire                    lmq0_l2fill_fpld;       // From qdp1 of lsu_qdp1.v
475
wire [2:0]              lmq0_ld_rq_type;        // From qdp1 of lsu_qdp1.v
476
wire                    lmq0_ldd_vld;           // From qdp1 of lsu_qdp1.v
477
wire                    lmq0_ncache_ld;         // From qdp1 of lsu_qdp1.v
478
wire [10:0]             lmq0_pcx_pkt_addr;      // From qdp1 of lsu_qdp1.v
479
wire [1:0]              lmq0_pcx_pkt_way;       // From qctl1 of lsu_qctl1.v
480
wire [1:0]              lmq1_byp_misc_sz;       // From qdp1 of lsu_qdp1.v
481
wire                    lmq1_l2fill_fpld;       // From qdp1 of lsu_qdp1.v
482
wire [2:0]              lmq1_ld_rq_type;        // From qdp1 of lsu_qdp1.v
483
wire                    lmq1_ldd_vld;           // From qdp1 of lsu_qdp1.v
484
wire                    lmq1_ncache_ld;         // From qdp1 of lsu_qdp1.v
485
wire [10:0]             lmq1_pcx_pkt_addr;      // From qdp1 of lsu_qdp1.v
486
wire [1:0]              lmq1_pcx_pkt_way;       // From qctl1 of lsu_qctl1.v
487
wire [1:0]              lmq2_byp_misc_sz;       // From qdp1 of lsu_qdp1.v
488
wire                    lmq2_l2fill_fpld;       // From qdp1 of lsu_qdp1.v
489
wire [2:0]              lmq2_ld_rq_type;        // From qdp1 of lsu_qdp1.v
490
wire                    lmq2_ldd_vld;           // From qdp1 of lsu_qdp1.v
491
wire                    lmq2_ncache_ld;         // From qdp1 of lsu_qdp1.v
492
wire [10:0]             lmq2_pcx_pkt_addr;      // From qdp1 of lsu_qdp1.v
493
wire [1:0]              lmq2_pcx_pkt_way;       // From qctl1 of lsu_qctl1.v
494
wire [1:0]              lmq3_byp_misc_sz;       // From qdp1 of lsu_qdp1.v
495
wire                    lmq3_l2fill_fpld;       // From qdp1 of lsu_qdp1.v
496
wire [2:0]              lmq3_ld_rq_type;        // From qdp1 of lsu_qdp1.v
497
wire                    lmq3_ldd_vld;           // From qdp1 of lsu_qdp1.v
498
wire                    lmq3_ncache_ld;         // From qdp1 of lsu_qdp1.v
499
wire [10:0]             lmq3_pcx_pkt_addr;      // From qdp1 of lsu_qdp1.v
500
wire [1:0]              lmq3_pcx_pkt_way;       // From qctl1 of lsu_qctl1.v
501
wire [3:0]              lmq_byp_data_en_w2;     // From dctl of lsu_dctl.v
502
wire [3:0]              lmq_byp_data_fmx_sel;   // From dctl of lsu_dctl.v
503
wire [3:0]              lmq_byp_data_mxsel0;    // From dctl of lsu_dctl.v
504
wire [3:0]              lmq_byp_data_mxsel1;    // From dctl of lsu_dctl.v
505
wire [3:0]              lmq_byp_data_mxsel2;    // From dctl of lsu_dctl.v
506
wire [3:0]              lmq_byp_data_mxsel3;    // From dctl of lsu_dctl.v
507
wire [2:0]              lmq_byp_ldxa_mxsel0;    // From dctl of lsu_dctl.v
508
wire [2:0]              lmq_byp_ldxa_mxsel1;    // From dctl of lsu_dctl.v
509
wire [2:0]              lmq_byp_ldxa_mxsel2;    // From dctl of lsu_dctl.v
510
wire [2:0]              lmq_byp_ldxa_mxsel3;    // From dctl of lsu_dctl.v
511
wire [3:0]              lmq_enable;             // From qctl1 of lsu_qctl1.v
512
wire                    lmq_ld_addr_b3;         // From dctl of lsu_dctl.v
513
wire [4:0]              lmq_ld_rd1;             // From qdp1 of lsu_qdp1.v
514
wire                    lmq_ldd_vld;            // From dctl of lsu_dctl.v
515
wire                    lsu_alt_space_m;        // From dctl of lsu_dctl.v
516
wire [2:0]              lsu_asi_sel_fmx1;       // From dctl of lsu_dctl.v
517
wire [2:0]              lsu_asi_sel_fmx2;       // From dctl of lsu_dctl.v
518
wire                    lsu_atm_st_cmplt_e;     // From qctl2 of lsu_qctl2.v
519
wire [2:0]              lsu_atomic_pkt2_bsel_g; // From dctl of lsu_dctl.v
520
wire [3:0]              lsu_bist_rsel_way_e;    // From dctl of lsu_dctl.v
521
wire [2:0]              lsu_bld_cnt_m;          // From qctl1 of lsu_qctl1.v
522
wire                    lsu_bld_helper_cmplt_m; // From qctl1 of lsu_qctl1.v
523
wire                    lsu_bld_pcx_rq;         // From qctl1 of lsu_qctl1.v
524
wire                    lsu_bld_reset;          // From qctl1 of lsu_qctl1.v
525
wire [1:0]              lsu_bld_rq_addr;        // From qctl1 of lsu_qctl1.v
526
wire                    lsu_blk_asi_m;          // From dctl of lsu_dctl.v
527
wire                    lsu_blk_st_m;           // From dctl of lsu_dctl.v
528
wire [39:10]            lsu_blkst_pgnum_m;      // From dctl of lsu_dctl.v
529
wire                    lsu_bst_in_pipe_m;      // From dctl of lsu_dctl.v
530
wire                    lsu_byp_ldd_oddrd_m;    // From qctl2 of lsu_qctl2.v
531
wire [1:0]              lsu_byp_misc_sz_e;      // From qdp1 of lsu_qdp1.v
532
wire [7:0]              lsu_cpu_dcd_sel;        // From qctl2 of lsu_qctl2.v
533
wire                    lsu_cpu_inv_data_b0;    // From qdp2 of lsu_qdp2.v
534
wire [13:9]             lsu_cpu_inv_data_b13to9;// From qdp2 of lsu_qdp2.v
535
wire [7:2]              lsu_cpu_inv_data_b7to2; // From qdp2 of lsu_qdp2.v
536
wire                    lsu_cpu_uhlf_sel;       // From qctl2 of lsu_qctl2.v
537
wire                    lsu_cpx_ld_dcache_perror_e;// From qctl2 of lsu_qctl2.v
538
wire                    lsu_cpx_ld_dtag_perror_e;// From qctl2 of lsu_qctl2.v
539
wire                    lsu_cpx_pkt_atm_st_cmplt;// From qdp2 of lsu_qdp2.v
540
wire                    lsu_cpx_pkt_atomic;     // From qdp2 of lsu_qdp2.v
541
wire                    lsu_cpx_pkt_binit_st;   // From qdp2 of lsu_qdp2.v
542
wire                    lsu_cpx_pkt_ifill_type; // From qdp2 of lsu_qdp2.v
543
wire [4:0]              lsu_cpx_pkt_inv_pa;     // From qdp2 of lsu_qdp2.v
544
wire [1:0]              lsu_cpx_pkt_invwy;      // From qdp2 of lsu_qdp2.v
545
wire                    lsu_cpx_pkt_l2miss;     // From qdp2 of lsu_qdp2.v
546
wire [1:0]              lsu_cpx_pkt_ld_err;     // From qdp2 of lsu_qdp2.v
547
wire                    lsu_cpx_pkt_perror_dinv;// From qdp2 of lsu_qdp2.v
548
wire                    lsu_cpx_pkt_perror_iinv;// From qdp2 of lsu_qdp2.v
549
wire [1:0]              lsu_cpx_pkt_perror_set; // From qdp2 of lsu_qdp2.v
550
wire                    lsu_cpx_pkt_prefetch;   // From qdp2 of lsu_qdp2.v
551
wire                    lsu_cpx_pkt_prefetch2;  // From qdp2 of lsu_qdp2.v
552
wire                    lsu_cpx_pkt_strm_ack;   // From qdp2 of lsu_qdp2.v
553
wire [1:0]              lsu_cpx_pkt_tid;        // From qdp2 of lsu_qdp2.v
554
wire [3:0]              lsu_cpx_rmo_st_ack;     // From qctl2 of lsu_qctl2.v
555
wire                    lsu_cpx_spc_inv_vld;    // From qctl2 of lsu_qctl2.v
556
wire                    lsu_cpx_stack_dcfill_vld;// From qctl2 of lsu_qctl2.v
557
wire [3:0]              lsu_cpx_thrdid;         // From qctl2 of lsu_qctl2.v
558
wire [5:0]              lsu_cpxpkt_type_dcd_cx; // From qctl2 of lsu_qctl2.v
559
wire [3:0]              lsu_ctl_state_wr_en;    // From dctl of lsu_dctl.v
560
wire                    lsu_dc_iob_access_e;    // From dctl of lsu_dctl.v
561
wire                    lsu_dcache_data_perror_g;// From dctl of lsu_dctl.v
562
wire [10:3]             lsu_dcache_fill_addr_e; // From dctl of lsu_dctl.v
563
wire [10:4]             lsu_dcache_fill_addr_e_err;// From dctl of lsu_dctl.v
564
wire [143:0]            lsu_dcache_fill_data_e; // From qdp2 of lsu_qdp2.v
565
wire [3:0]              lsu_dcache_fill_way_e;  // From dctl of lsu_dctl.v
566
wire                    lsu_dcache_iob_rd_w;    // From qctl2 of lsu_qctl2.v
567
wire [1:0]              lsu_dcache_iob_way_e;   // From qdp2 of lsu_qdp2.v
568
wire [1:0]              lsu_dcache_rand;        // From dctl of lsu_dctl.v
569
wire                    lsu_dcache_tag_perror_g;// From dctl of lsu_dctl.v
570
wire                    lsu_dcache_wr_vld_e;    // From dctl of lsu_dctl.v
571
wire                    lsu_dcfill_active_e;    // From qctl2 of lsu_qctl2.v
572
wire                    lsu_dcfill_data_mx_sel_e;// From dctl of lsu_dctl.v
573
wire [3:0]              lsu_dctag_mrgn;         // From dctldp of lsu_dctldp.v
574
wire [7:0]              lsu_dctl_asi_state_m;   // From dctldp of lsu_dctldp.v
575
wire                    lsu_dctldp_thread0_m;   // From dctl of lsu_dctl.v
576
wire                    lsu_dctldp_thread1_m;   // From dctl of lsu_dctl.v
577
wire                    lsu_dctldp_thread2_m;   // From dctl of lsu_dctl.v
578
wire                    lsu_dctldp_thread3_m;   // From dctl of lsu_dctl.v
579
wire                    lsu_defr_trp_taken_g;   // From excpctl of lsu_excpctl.v
580
wire                    lsu_dfill_data_sel_hi;  // From qctl2 of lsu_qctl2.v
581
wire [3:0]              lsu_dfill_dcd_thrd;     // From qctl2 of lsu_qctl2.v
582
wire                    lsu_dfq_byp_atm;        // From qdp2 of lsu_qdp2.v
583
wire                    lsu_dfq_byp_binit_st;   // From qdp2 of lsu_qdp2.v
584
wire                    lsu_dfq_byp_cpx_inv;    // From qdp2 of lsu_qdp2.v
585
wire                    lsu_dfq_byp_ff_en;      // From qctl2 of lsu_qctl2.v
586
wire                    lsu_dfq_byp_flush;      // From qdp2 of lsu_qdp2.v
587
wire                    lsu_dfq_byp_invwy_vld;  // From qdp2 of lsu_qdp2.v
588
wire [1:0]              lsu_dfq_byp_stack_adr_b54;// From qdp2 of lsu_qdp2.v
589
wire [1:0]              lsu_dfq_byp_stack_wrway;// From qdp2 of lsu_qdp2.v
590
wire [1:0]              lsu_dfq_byp_tid;        // From qdp2 of lsu_qdp2.v
591
wire [3:0]              lsu_dfq_byp_tid_d1_sel; // From qctl1 of lsu_qctl1.v
592
wire [5:0]              lsu_dfq_byp_type;       // From qdp2 of lsu_qdp2.v
593
wire [3:0]              lsu_dfq_flsh_cmplt;     // From qctl2 of lsu_qctl2.v
594
wire                    lsu_dfq_ld_vld;         // From qctl2 of lsu_qctl2.v
595
wire                    lsu_dfq_ldst_vld;       // From qctl2 of lsu_qctl2.v
596
wire                    lsu_dfq_rd_vld_d1;      // From qctl2 of lsu_qctl2.v
597
wire                    lsu_dfq_st_vld;         // From qctl2 of lsu_qctl2.v
598
wire                    lsu_dfq_vld;            // From qctl2 of lsu_qctl2.v
599
wire                    lsu_diag_va_prty_invrt; // From dctldp of lsu_dctldp.v
600
wire [3:0]              lsu_diagnstc_data_sel;  // From dctl of lsu_dctl.v
601
wire [7:0]              lsu_diagnstc_dc_prty_invrt_e;// From dctldp of lsu_dctldp.v
602
wire                    lsu_diagnstc_dtagv_prty_invrt_e;// From dctl of lsu_dctl.v
603
wire [3:0]              lsu_diagnstc_va_sel;    // From dctl of lsu_dctl.v
604
wire [10:0]             lsu_diagnstc_wr_addr_e; // From dctldp of lsu_dctldp.v
605
wire                    lsu_diagnstc_wr_data_b0;// From qdp1 of lsu_qdp1.v
606
wire [63:0]             lsu_diagnstc_wr_data_e; // From qdp1 of lsu_qdp1.v
607
wire [1:0]              lsu_diagnstc_wr_way_e;  // From dctldp of lsu_dctldp.v
608
wire [5:0]              lsu_dp_ctl_reg0;        // From dctldp of lsu_dctldp.v
609
wire [5:0]              lsu_dp_ctl_reg1;        // From dctldp of lsu_dctldp.v
610
wire [5:0]              lsu_dp_ctl_reg2;        // From dctldp of lsu_dctldp.v
611
wire [5:0]              lsu_dp_ctl_reg3;        // From dctldp of lsu_dctldp.v
612
wire                    lsu_dtag_index_sel_x_e; // From dctl of lsu_dctl.v
613
wire [3:0]              lsu_dtag_rsel_m;        // From dctl of lsu_dctl.v
614
wire                    lsu_dtag_wrreq_x_e;     // From dctl of lsu_dctl.v
615
wire                    lsu_dtagv_wr_vld_e;     // From dctl of lsu_dctl.v
616
wire                    lsu_dtlb_addr_mask_l_e; // From dctl of lsu_dctl.v
617
wire                    lsu_dtlb_bypass_e;      // From dctl of lsu_dctl.v
618
wire [2:0]              lsu_dtlb_cam_pid_e;     // From dctldp of lsu_dctldp.v
619
wire                    lsu_dtlb_data_rd_e;     // From dctl of lsu_dctl.v
620
wire                    lsu_dtlb_dmp_all_e;     // From dctl of lsu_dctl.v
621
wire                    lsu_dtlb_dmp_vld_e;     // From dctl of lsu_dctl.v
622
wire                    lsu_dtlb_invalid_all_l_m;// From dctl of lsu_dctl.v
623
wire [7:0]              lsu_dtlb_mrgn;          // From dctldp of lsu_dctldp.v
624
wire                    lsu_dtlb_rwindex_vld_e; // From dctl of lsu_dctl.v
625
wire                    lsu_dtlb_tag_rd_e;      // From dctl of lsu_dctl.v
626
wire                    lsu_dtlb_wr_vld_e;      // From dctl of lsu_dctl.v
627
wire [1:0]              lsu_encd_way_hit;       // From dctl of lsu_dctl.v
628
wire [2:0]              lsu_err_addr_sel;       // From dctl of lsu_dctl.v
629
wire [28:0]             lsu_error_pa_m;         // From qdp1 of lsu_qdp1.v
630
wire [7:0]              lsu_excpctl_asi_state_m;// From dctldp of lsu_dctldp.v
631
wire                    lsu_fldd_vld_en;        // From qctl2 of lsu_qctl2.v
632
wire                    lsu_flsh_inst_m;        // From dctl of lsu_dctl.v
633
wire                    lsu_fwd_rply_sz1_unc;   // From qctl2 of lsu_qctl2.v
634
wire [4:0]              lsu_fwdpkt_dest;        // From qctl2 of lsu_qctl2.v
635
wire                    lsu_fwdpkt_pcx_rq_sel;  // From qctl1 of lsu_qctl1.v
636
wire                    lsu_fwdpkt_vld;         // From qctl2 of lsu_qctl2.v
637
wire                    lsu_ifu_asi_data_en_l;  // From dctl of lsu_dctl.v
638
wire                    lsu_imiss_pcx_rq_sel_d1;// From qctl1 of lsu_qctl1.v
639
wire [3:0]              lsu_intrpt_cmplt;       // From qctl1 of lsu_qctl1.v
640
wire                    lsu_iobrdge_fwd_pkt_vld;// From qctl2 of lsu_qctl2.v
641
wire [2:0]              lsu_iobrdge_rply_data_sel;// From qctl2 of lsu_qctl2.v
642
wire [8:0]              lsu_iobrdge_tap_rq_type;// From qdp2 of lsu_qdp2.v
643
wire [43:0]             lsu_iobrdge_wr_data;    // From qdp2 of lsu_qdp2.v
644
wire                    lsu_l2fill_bendian_m;   // From qdp1 of lsu_qdp1.v
645
wire [63:0]             lsu_l2fill_data;        // From qdp2 of lsu_qdp2.v
646
wire                    lsu_l2fill_fpld_e;      // From dctl of lsu_dctl.v
647
wire                    lsu_l2fill_sign_extend_m;// From qdp1 of lsu_qdp1.v
648
wire                    lsu_l2fill_vld;         // From qctl2 of lsu_qctl2.v
649
wire                    lsu_ld0_spec_vld_kill_w2;// From qctl1 of lsu_qctl1.v
650
wire                    lsu_ld1_spec_vld_kill_w2;// From qctl1 of lsu_qctl1.v
651
wire                    lsu_ld2_spec_vld_kill_w2;// From qctl1 of lsu_qctl1.v
652
wire                    lsu_ld3_spec_vld_kill_w2;// From qctl1 of lsu_qctl1.v
653
wire [3:0]              lsu_ld_inst_vld_g;      // From dctl of lsu_dctl.v
654
wire                    lsu_ld_miss_wb;         // From dctl of lsu_dctl.v
655
wire [3:0]              lsu_ld_pcx_rq_mxsel;    // From qctl1 of lsu_qctl1.v
656
wire [3:0]              lsu_ld_pcx_rq_sel_d2;   // From qctl1 of lsu_qctl1.v
657
wire [2:0]              lsu_ld_thrd_byp_sel_e;  // From dctl of lsu_dctl.v
658
wire                    lsu_ldquad_inst_m;      // From stb_rwctl of lsu_stb_rwctl.v
659
wire                    lsu_ldst_inst_vld_e;    // From dctl of lsu_dctl.v
660
wire [7:0]              lsu_ldst_va_g;          // From dctldp of lsu_dctldp.v
661
wire [12:0]             lsu_ldst_va_m;          // From dctldp of lsu_dctldp.v
662
wire [47:0]             lsu_ldst_va_m_buf;      // From dctldp of lsu_dctldp.v
663
wire [1:0]              lsu_ldst_va_way_g;      // From qdp1 of lsu_qdp1.v
664
wire                    lsu_ldstub_g;           // From dctl of lsu_dctl.v
665
wire [3:0]              lsu_lmq_byp_misc_sel;   // From qctl1 of lsu_qctl1.v
666
wire                    lsu_local_diagnstc_tagrd_sel_g;// From dctl of lsu_dctl.v
667
wire                    lsu_local_early_flush_g;// From excpctl of lsu_excpctl.v
668
wire                    lsu_local_ldxa_sel_g;   // From dctl of lsu_dctl.v
669
wire                    lsu_local_ldxa_tlbrd_sel_g;// From dctl of lsu_dctl.v
670
wire                    lsu_memref_m;           // From dctl of lsu_dctl.v
671
wire [63:0]             lsu_misc_rdata_w2;      // From tagdp of lsu_tagdp.v
672
wire [3:0]              lsu_no_spc_pref;        // From dctl of lsu_dctl.v
673
wire                    lsu_nonalt_nucl_access_m;// From dctl of lsu_dctl.v
674
wire [3:0]              lsu_outstanding_rmo_st_max;// From dctl of lsu_dctl.v
675
wire [107:0]            lsu_pcx_fwd_pkt;        // From qdp2 of lsu_qdp2.v
676
wire                    lsu_pcx_fwd_reply;      // From qctl2 of lsu_qctl2.v
677
wire                    lsu_pcx_ld_dtag_perror_w2;// From qctl1 of lsu_qctl1.v
678
wire                    lsu_pcx_req_squash0;    // From qctl1 of lsu_qctl1.v
679
wire                    lsu_pcx_req_squash1;    // From qctl1 of lsu_qctl1.v
680
wire                    lsu_pcx_req_squash2;    // From qctl1 of lsu_qctl1.v
681
wire                    lsu_pcx_req_squash3;    // From qctl1 of lsu_qctl1.v
682
wire                    lsu_pcx_req_squash_d1;  // From qctl1 of lsu_qctl1.v
683
wire                    lsu_pcx_rq_sz_b3;       // From qctl1 of lsu_qctl1.v
684
wire                    lsu_pref_pcx_req;       // From qdp1 of lsu_qdp1.v
685
wire                    lsu_qdp2_dfq_ld_vld;    // From qctl2 of lsu_qctl2.v
686
wire                    lsu_qdp2_dfq_st_vld;    // From qctl2 of lsu_qctl2.v
687
wire                    lsu_quad_asi_e;         // From dctl of lsu_dctl.v
688
wire                    lsu_quad_word_access_g; // From dctl of lsu_dctl.v
689
wire                    lsu_ramtest_rd_w;       // From qctl1 of lsu_qctl1.v
690
wire [3:0]              lsu_rd_dtag_parity_g;   // From tagdp of lsu_tagdp.v
691
wire                    lsu_snap_blk_st_m;      // From dctl of lsu_dctl.v
692
wire                    lsu_squash_va_oor_m;    // From dctl of lsu_dctl.v
693
wire [3:0]              lsu_st_ack_dq_stb;      // From qctl2 of lsu_qctl2.v
694
wire [1:0]              lsu_st_dcfill_size_e;   // From qdp2 of lsu_qdp2.v
695
wire [3:0]              lsu_st_dtlb_perr_g;     // From excpctl of lsu_excpctl.v
696
wire                    lsu_st_hw_le_g;         // From dctl of lsu_dctl.v
697
wire [3:0]              lsu_st_pcx_rq_kill_w2;  // From stb_ctl0 of lsu_stb_ctl.v, ...
698
wire [3:0]              lsu_st_pcx_rq_pick;     // From qctl1 of lsu_qctl1.v
699
wire                    lsu_st_pcx_rq_vld;      // From qctl1 of lsu_qctl1.v
700
wire                    lsu_st_rmo_m;           // From dctl of lsu_dctl.v
701
wire [2:1]              lsu_st_rq_type_m;       // From stb_rwctl of lsu_stb_rwctl.v
702
wire                    lsu_st_sz_b_m;          // From stb_rwctl of lsu_stb_rwctl.v
703
wire                    lsu_st_sz_bhw_m;        // From stb_rwctl of lsu_stb_rwctl.v
704
wire                    lsu_st_sz_bhww_m;       // From stb_rwctl of lsu_stb_rwctl.v
705
wire                    lsu_st_sz_dw_m;         // From stb_rwctl of lsu_stb_rwctl.v
706
wire                    lsu_st_sz_hw_m;         // From stb_rwctl of lsu_stb_rwctl.v
707
wire                    lsu_st_sz_hww_m;        // From stb_rwctl of lsu_stb_rwctl.v
708
wire                    lsu_st_sz_w_m;          // From stb_rwctl of lsu_stb_rwctl.v
709
wire                    lsu_st_sz_wdw_m;        // From stb_rwctl of lsu_stb_rwctl.v
710
wire                    lsu_st_w_or_dbl_le_g;   // From dctl of lsu_dctl.v
711
wire [1:0]              lsu_st_way_e;           // From qdp2 of lsu_qdp2.v
712
wire                    lsu_st_wr_dcache;       // From qctl2 of lsu_qctl2.v
713
wire                    lsu_st_x_le_g;          // From dctl of lsu_dctl.v
714
wire [3:0]              lsu_stb_data_early_sel_e;// From stb_rwctl of lsu_stb_rwctl.v
715
wire                    lsu_stb_data_final_sel_m;// From stb_rwctl of lsu_stb_rwctl.v
716
wire [3:0]              lsu_stb_empty;          // From stb_ctl0 of lsu_stb_ctl.v, ...
717
wire [3:0]              lsu_stb_empty_buf;      // From stb_rwctl of lsu_stb_rwctl.v
718
wire                    lsu_stb_pcx_rvld_d1;    // From qctl1 of lsu_qctl1.v
719
wire [1:0]              lsu_stb_rd_tid;         // From qctl1 of lsu_qctl1.v
720
wire [3:0]              lsu_stb_rmo_st_issue;   // From stb_ctl0 of lsu_stb_ctl.v, ...
721
wire [9:3]              lsu_stb_va_m;           // From stb_rwctl of lsu_stb_rwctl.v
722
wire [3:0]              lsu_stbcnt0;            // From stb_ctl0 of lsu_stb_ctl.v
723
wire [3:0]              lsu_stbcnt1;            // From stb_ctl1 of lsu_stb_ctl.v
724
wire [3:0]              lsu_stbcnt2;            // From stb_ctl2 of lsu_stb_ctl.v
725
wire [3:0]              lsu_stbcnt3;            // From stb_ctl3 of lsu_stb_ctl.v
726
wire                    lsu_stbctl_flush_pipe_w;// From stb_rwctl of lsu_stb_rwctl.v
727
wire                    lsu_swap_g;             // From dctl of lsu_dctl.v
728
wire                    lsu_swap_sel_default_byte_7_2_g;// From dctl of lsu_dctl.v
729
wire                    lsu_swap_sel_default_g; // From dctl of lsu_dctl.v
730
wire [3:0]              lsu_thread_g;           // From dctl of lsu_dctl.v
731
wire                    lsu_tlb_asi_data_perr_g;// From dctl of lsu_dctl.v
732
wire                    lsu_tlb_asi_tag_perr_g; // From dctl of lsu_dctl.v
733
wire                    lsu_tlb_data_rd_vld_g;  // From dctl of lsu_dctl.v
734
wire                    lsu_tlb_perr_ld_rq_kill_w;// From excpctl of lsu_excpctl.v
735
wire [63:0]             lsu_tlb_rd_data;        // From tlbdp of lsu_tlbdp.v
736
wire [3:0]              lsu_tlb_st_sel_m;       // From dctl of lsu_dctl.v
737
wire                    lsu_tlbop_force_swo;    // From dctl of lsu_dctl.v
738
wire [2:0]              lsu_tlu_ctxt_sel_m;     // From dctl of lsu_dctl.v
739
wire                    lsu_tlu_nonalt_ldst_m;  // From dctl of lsu_dctl.v
740
wire                    lsu_tlu_write_op_m;     // From dctl of lsu_dctl.v
741
wire                    lsu_tlu_xslating_ldst_m;// From dctl of lsu_dctl.v
742
wire                    lsu_ttype_vld_m2;       // From excpctl of lsu_excpctl.v
743
wire                    lsu_ttype_vld_m2_bf1;   // From excpctl of lsu_excpctl.v
744
wire                    lsu_va_match_b31_b3_m;  // From qdp1 of lsu_qdp1.v
745
wire                    lsu_va_match_b47_b32_m; // From qdp1 of lsu_qdp1.v
746
wire                    lsu_va_wtchpt0_wr_en_l; // From dctl of lsu_dctl.v
747
wire                    lsu_va_wtchpt1_wr_en_l; // From dctl of lsu_dctl.v
748
wire                    lsu_va_wtchpt2_wr_en_l; // From dctl of lsu_dctl.v
749
wire                    lsu_va_wtchpt3_wr_en_l; // From dctl of lsu_dctl.v
750
wire [47:3]             lsu_va_wtchpt_addr;     // From qdp1 of lsu_qdp1.v
751
wire                    lsu_va_wtchpt_sel_g;    // From dctl of lsu_dctl.v
752
wire                    lsu_way_hit_or;         // From dctl of lsu_dctl.v
753
wire [3:0]              lsuctl_ctlbits_wr_en;   // From dctl of lsu_dctl.v
754
wire                    merge0_sel_byte0_m;     // From dctl of lsu_dctl.v
755
wire                    merge0_sel_byte1_m;     // From dctl of lsu_dctl.v
756
wire                    merge0_sel_byte2_m;     // From dctl of lsu_dctl.v
757
wire                    merge0_sel_byte3_default_m;// From dctl of lsu_dctl.v
758
wire                    merge0_sel_byte4_m;     // From dctl of lsu_dctl.v
759
wire                    merge0_sel_byte5_m;     // From dctl of lsu_dctl.v
760
wire                    merge0_sel_byte6_m;     // From dctl of lsu_dctl.v
761
wire                    merge0_sel_byte7_default_m;// From dctl of lsu_dctl.v
762
wire                    merge0_sel_byte_1h_m;   // From dctl of lsu_dctl.v
763
wire                    merge1_sel_byte0_m;     // From dctl of lsu_dctl.v
764
wire                    merge1_sel_byte1_m;     // From dctl of lsu_dctl.v
765
wire                    merge1_sel_byte2_m;     // From dctl of lsu_dctl.v
766
wire                    merge1_sel_byte3_default_m;// From dctl of lsu_dctl.v
767
wire                    merge1_sel_byte4_m;     // From dctl of lsu_dctl.v
768
wire                    merge1_sel_byte5_m;     // From dctl of lsu_dctl.v
769
wire                    merge1_sel_byte6_m;     // From dctl of lsu_dctl.v
770
wire                    merge1_sel_byte7_default_m;// From dctl of lsu_dctl.v
771
wire                    merge1_sel_byte_1h_m;   // From dctl of lsu_dctl.v
772
wire                    merge1_sel_byte_2h_m;   // From dctl of lsu_dctl.v
773
wire                    merge2_sel_byte1_m;     // From dctl of lsu_dctl.v
774
wire                    merge2_sel_byte2_m;     // From dctl of lsu_dctl.v
775
wire                    merge2_sel_byte5_m;     // From dctl of lsu_dctl.v
776
wire                    merge2_sel_byte6_default_m;// From dctl of lsu_dctl.v
777
wire                    merge2_sel_byte_m;      // From dctl of lsu_dctl.v
778
wire                    merge3_sel_byte0_m;     // From dctl of lsu_dctl.v
779
wire                    merge3_sel_byte3_m;     // From dctl of lsu_dctl.v
780
wire                    merge3_sel_byte4_m;     // From dctl of lsu_dctl.v
781
wire                    merge3_sel_byte7_default_m;// From dctl of lsu_dctl.v
782
wire                    merge3_sel_byte_m;      // From dctl of lsu_dctl.v
783
wire                    merge4_sel_byte3_m;     // From dctl of lsu_dctl.v
784
wire                    merge4_sel_byte4_m;     // From dctl of lsu_dctl.v
785
wire                    merge5_sel_byte2_m;     // From dctl of lsu_dctl.v
786
wire                    merge5_sel_byte5_m;     // From dctl of lsu_dctl.v
787
wire                    merge6_sel_byte1_m;     // From dctl of lsu_dctl.v
788
wire                    merge6_sel_byte6_m;     // From dctl of lsu_dctl.v
789
wire                    merge7_sel_byte0_m;     // From dctl of lsu_dctl.v
790
wire                    merge7_sel_byte7_m;     // From dctl of lsu_dctl.v
791
wire [3:0]              misc_ctl_sel_din;       // From dctl of lsu_dctl.v
792
wire                    mmu_rd_only_asi_m;      // From dctl of lsu_dctl.v
793
wire [7:0]              morphed_addr_m;         // From dctl of lsu_dctl.v
794
wire                    mrgn_tap_wr_en;         // From dctl of lsu_dctl.v
795
wire                    mrgnctl_wr_en;          // From dctl of lsu_dctl.v
796
wire                    nofault_asi_m;          // From dctl of lsu_dctl.v
797
wire [3:0]              pctxt_state_wr_thrd;    // From dctl of lsu_dctl.v
798
wire [3:0]              pcx_pkt_src_sel;        // From qctl1 of lsu_qctl1.v
799
wire [3:0]              pcx_rq_for_stb;         // From qctl1 of lsu_qctl1.v
800
wire [3:0]              pcx_rq_for_stb_d1;      // From qctl1 of lsu_qctl1.v
801
wire                    phy_byp_ec_asi_m;       // From dctl of lsu_dctl.v
802
wire                    phy_use_ec_asi_m;       // From dctl of lsu_dctl.v
803
wire [3:0]              pid_state_wr_en;        // From dctl of lsu_dctl.v
804
wire                    quad_asi_m;             // From dctl of lsu_dctl.v
805
wire                    rd_only_asi_m;          // From dctl of lsu_dctl.v
806
wire                    rd_only_ltlb_asi_e;     // From dctl of lsu_dctl.v
807
wire                    recognized_asi_m;       // From dctl of lsu_dctl.v
808
wire [3:0]              sctxt_state_wr_thrd;    // From dctl of lsu_dctl.v
809
wire                    signed_ldst_byte_m;     // From dctl of lsu_dctl.v
810
wire                    signed_ldst_hw_m;       // From dctl of lsu_dctl.v
811
wire                    signed_ldst_w_m;        // From dctl of lsu_dctl.v
812
wire [10:0]             st_dcfill_addr;         // From qdp2 of lsu_qdp2.v
813
wire [63:0]             st_rs3_data_g;          // From qdp1 of lsu_qdp1.v
814
wire                    sta_internal_m;         // From dctl of lsu_dctl.v
815
wire [2:1]              stb0_atm_rq_type;       // From stb_ctl0 of lsu_stb_ctl.v
816
wire [7:0]              stb0_clk_en_l;          // From stb_ctl0 of lsu_stb_ctl.v
817
wire [2:0]              stb0_crnt_ack_id;       // From stb_ctl0 of lsu_stb_ctl.v
818
wire [2:0]              stb0_l2b_addr;          // From stb_ctl0 of lsu_stb_ctl.v
819
wire [7:0]              stb0_state_rmo;         // From stb_ctldp0 of lsu_stb_ctldp.v
820
wire [2:1]              stb0_state_rtype_0;     // From stb_ctldp0 of lsu_stb_ctldp.v
821
wire [2:1]              stb0_state_rtype_1;     // From stb_ctldp0 of lsu_stb_ctldp.v
822
wire [2:1]              stb0_state_rtype_2;     // From stb_ctldp0 of lsu_stb_ctldp.v
823
wire [2:1]              stb0_state_rtype_3;     // From stb_ctldp0 of lsu_stb_ctldp.v
824
wire [2:1]              stb0_state_rtype_4;     // From stb_ctldp0 of lsu_stb_ctldp.v
825
wire [2:1]              stb0_state_rtype_5;     // From stb_ctldp0 of lsu_stb_ctldp.v
826
wire [2:1]              stb0_state_rtype_6;     // From stb_ctldp0 of lsu_stb_ctldp.v
827
wire [2:1]              stb0_state_rtype_7;     // From stb_ctldp0 of lsu_stb_ctldp.v
828
wire [3:2]              stb0_state_si_0;        // From stb_ctldp0 of lsu_stb_ctldp.v
829
wire [3:2]              stb0_state_si_1;        // From stb_ctldp0 of lsu_stb_ctldp.v
830
wire [3:2]              stb0_state_si_2;        // From stb_ctldp0 of lsu_stb_ctldp.v
831
wire [3:2]              stb0_state_si_3;        // From stb_ctldp0 of lsu_stb_ctldp.v
832
wire [3:2]              stb0_state_si_4;        // From stb_ctldp0 of lsu_stb_ctldp.v
833
wire [3:2]              stb0_state_si_5;        // From stb_ctldp0 of lsu_stb_ctldp.v
834
wire [3:2]              stb0_state_si_6;        // From stb_ctldp0 of lsu_stb_ctldp.v
835
wire [3:2]              stb0_state_si_7;        // From stb_ctldp0 of lsu_stb_ctldp.v
836
wire [2:1]              stb1_atm_rq_type;       // From stb_ctl1 of lsu_stb_ctl.v
837
wire [7:0]              stb1_clk_en_l;          // From stb_ctl1 of lsu_stb_ctl.v
838
wire [2:0]              stb1_crnt_ack_id;       // From stb_ctl1 of lsu_stb_ctl.v
839
wire [2:0]              stb1_l2b_addr;          // From stb_ctl1 of lsu_stb_ctl.v
840
wire [7:0]              stb1_state_rmo;         // From stb_ctldp1 of lsu_stb_ctldp.v
841
wire [2:1]              stb1_state_rtype_0;     // From stb_ctldp1 of lsu_stb_ctldp.v
842
wire [2:1]              stb1_state_rtype_1;     // From stb_ctldp1 of lsu_stb_ctldp.v
843
wire [2:1]              stb1_state_rtype_2;     // From stb_ctldp1 of lsu_stb_ctldp.v
844
wire [2:1]              stb1_state_rtype_3;     // From stb_ctldp1 of lsu_stb_ctldp.v
845
wire [2:1]              stb1_state_rtype_4;     // From stb_ctldp1 of lsu_stb_ctldp.v
846
wire [2:1]              stb1_state_rtype_5;     // From stb_ctldp1 of lsu_stb_ctldp.v
847
wire [2:1]              stb1_state_rtype_6;     // From stb_ctldp1 of lsu_stb_ctldp.v
848
wire [2:1]              stb1_state_rtype_7;     // From stb_ctldp1 of lsu_stb_ctldp.v
849
wire [3:2]              stb1_state_si_0;        // From stb_ctldp1 of lsu_stb_ctldp.v
850
wire [3:2]              stb1_state_si_1;        // From stb_ctldp1 of lsu_stb_ctldp.v
851
wire [3:2]              stb1_state_si_2;        // From stb_ctldp1 of lsu_stb_ctldp.v
852
wire [3:2]              stb1_state_si_3;        // From stb_ctldp1 of lsu_stb_ctldp.v
853
wire [3:2]              stb1_state_si_4;        // From stb_ctldp1 of lsu_stb_ctldp.v
854
wire [3:2]              stb1_state_si_5;        // From stb_ctldp1 of lsu_stb_ctldp.v
855
wire [3:2]              stb1_state_si_6;        // From stb_ctldp1 of lsu_stb_ctldp.v
856
wire [3:2]              stb1_state_si_7;        // From stb_ctldp1 of lsu_stb_ctldp.v
857
wire [2:1]              stb2_atm_rq_type;       // From stb_ctl2 of lsu_stb_ctl.v
858
wire [7:0]              stb2_clk_en_l;          // From stb_ctl2 of lsu_stb_ctl.v
859
wire [2:0]              stb2_crnt_ack_id;       // From stb_ctl2 of lsu_stb_ctl.v
860
wire [2:0]              stb2_l2b_addr;          // From stb_ctl2 of lsu_stb_ctl.v
861
wire [7:0]              stb2_state_rmo;         // From stb_ctldp2 of lsu_stb_ctldp.v
862
wire [2:1]              stb2_state_rtype_0;     // From stb_ctldp2 of lsu_stb_ctldp.v
863
wire [2:1]              stb2_state_rtype_1;     // From stb_ctldp2 of lsu_stb_ctldp.v
864
wire [2:1]              stb2_state_rtype_2;     // From stb_ctldp2 of lsu_stb_ctldp.v
865
wire [2:1]              stb2_state_rtype_3;     // From stb_ctldp2 of lsu_stb_ctldp.v
866
wire [2:1]              stb2_state_rtype_4;     // From stb_ctldp2 of lsu_stb_ctldp.v
867
wire [2:1]              stb2_state_rtype_5;     // From stb_ctldp2 of lsu_stb_ctldp.v
868
wire [2:1]              stb2_state_rtype_6;     // From stb_ctldp2 of lsu_stb_ctldp.v
869
wire [2:1]              stb2_state_rtype_7;     // From stb_ctldp2 of lsu_stb_ctldp.v
870
wire [3:2]              stb2_state_si_0;        // From stb_ctldp2 of lsu_stb_ctldp.v
871
wire [3:2]              stb2_state_si_1;        // From stb_ctldp2 of lsu_stb_ctldp.v
872
wire [3:2]              stb2_state_si_2;        // From stb_ctldp2 of lsu_stb_ctldp.v
873
wire [3:2]              stb2_state_si_3;        // From stb_ctldp2 of lsu_stb_ctldp.v
874
wire [3:2]              stb2_state_si_4;        // From stb_ctldp2 of lsu_stb_ctldp.v
875
wire [3:2]              stb2_state_si_5;        // From stb_ctldp2 of lsu_stb_ctldp.v
876
wire [3:2]              stb2_state_si_6;        // From stb_ctldp2 of lsu_stb_ctldp.v
877
wire [3:2]              stb2_state_si_7;        // From stb_ctldp2 of lsu_stb_ctldp.v
878
wire [2:1]              stb3_atm_rq_type;       // From stb_ctl3 of lsu_stb_ctl.v
879
wire [7:0]              stb3_clk_en_l;          // From stb_ctl3 of lsu_stb_ctl.v
880
wire [2:0]              stb3_crnt_ack_id;       // From stb_ctl3 of lsu_stb_ctl.v
881
wire [2:0]              stb3_l2b_addr;          // From stb_ctl3 of lsu_stb_ctl.v
882
wire [7:0]              stb3_state_rmo;         // From stb_ctldp3 of lsu_stb_ctldp.v
883
wire [2:1]              stb3_state_rtype_0;     // From stb_ctldp3 of lsu_stb_ctldp.v
884
wire [2:1]              stb3_state_rtype_1;     // From stb_ctldp3 of lsu_stb_ctldp.v
885
wire [2:1]              stb3_state_rtype_2;     // From stb_ctldp3 of lsu_stb_ctldp.v
886
wire [2:1]              stb3_state_rtype_3;     // From stb_ctldp3 of lsu_stb_ctldp.v
887
wire [2:1]              stb3_state_rtype_4;     // From stb_ctldp3 of lsu_stb_ctldp.v
888
wire [2:1]              stb3_state_rtype_5;     // From stb_ctldp3 of lsu_stb_ctldp.v
889
wire [2:1]              stb3_state_rtype_6;     // From stb_ctldp3 of lsu_stb_ctldp.v
890
wire [2:1]              stb3_state_rtype_7;     // From stb_ctldp3 of lsu_stb_ctldp.v
891
wire [3:2]              stb3_state_si_0;        // From stb_ctldp3 of lsu_stb_ctldp.v
892
wire [3:2]              stb3_state_si_1;        // From stb_ctldp3 of lsu_stb_ctldp.v
893
wire [3:2]              stb3_state_si_2;        // From stb_ctldp3 of lsu_stb_ctldp.v
894
wire [3:2]              stb3_state_si_3;        // From stb_ctldp3 of lsu_stb_ctldp.v
895
wire [3:2]              stb3_state_si_4;        // From stb_ctldp3 of lsu_stb_ctldp.v
896
wire [3:2]              stb3_state_si_5;        // From stb_ctldp3 of lsu_stb_ctldp.v
897
wire [3:2]              stb3_state_si_6;        // From stb_ctldp3 of lsu_stb_ctldp.v
898
wire [3:2]              stb3_state_si_7;        // From stb_ctldp3 of lsu_stb_ctldp.v
899
wire [1:0]              stb_cam_cm_tid;         // From stb_rwctl of lsu_stb_rwctl.v
900
wire                    stb_cam_hit;            // From stb_cam of bw_r_scm.v
901
wire                    stb_cam_hit_bf;         // From excpctl of lsu_excpctl.v
902
wire                    stb_cam_hit_bf1;        // From excpctl of lsu_excpctl.v
903
wire [2:0]              stb_cam_hit_ptr;        // From stb_cam of bw_r_scm.v
904
wire                    stb_cam_mhit;           // From stb_cam of bw_r_scm.v
905
wire                    stb_cam_rptr_vld;       // From stb_rwctl of lsu_stb_rwctl.v
906
wire [4:0]              stb_cam_rw_ptr;         // From stb_rwctl of lsu_stb_rwctl.v
907
wire [7:0]              stb_cam_sqsh_msk;       // From excpctl of lsu_excpctl.v
908
wire                    stb_cam_vld;            // From tlbdp of lsu_tlbdp.v
909
wire                    stb_cam_wptr_vld;       // From stb_rwctl of lsu_stb_rwctl.v
910
wire                    stb_cam_wr_no_ivld_m;   // From stb_rwctl of lsu_stb_rwctl.v
911
wire [3:0]              stb_cam_wvld_m;         // From stb_rwctl of lsu_stb_rwctl.v
912
wire [4:0]              stb_data_rd_ptr;        // From stb_rwctl of lsu_stb_rwctl.v
913
wire                    stb_data_rptr_vld;      // From stb_rwctl of lsu_stb_rwctl.v
914
wire                    stb_data_wptr_vld;      // From stb_rwctl of lsu_stb_rwctl.v
915
wire [4:0]              stb_data_wr_ptr;        // From stb_rwctl of lsu_stb_rwctl.v
916
wire [3:0]              stb_flush_st_g;         // From stb_rwctl of lsu_stb_rwctl.v
917
wire [7:0]              stb_ld_full_raw;        // From stb_cam of bw_r_scm.v
918
wire [7:0]              stb_ld_partial_raw;     // From stb_cam of bw_r_scm.v
919
wire [7:0]              stb_ldst_byte_msk;      // From stb_rwctl of lsu_stb_rwctl.v
920
wire [2:0]              stb_pcx_rptr0;          // From stb_ctl0 of lsu_stb_ctl.v
921
wire [2:0]              stb_pcx_rptr1;          // From stb_ctl1 of lsu_stb_ctl.v
922
wire [2:0]              stb_pcx_rptr2;          // From stb_ctl2 of lsu_stb_ctl.v
923
wire [2:0]              stb_pcx_rptr3;          // From stb_ctl3 of lsu_stb_ctl.v
924
wire [3:0]              stb_rd_for_pcx;         // From stb_ctl0 of lsu_stb_ctl.v, ...
925
wire [44:0]             stb_rdata_ramc;         // From stb_cam of bw_r_scm.v
926
wire [14:9]             stb_rdata_ramc_buf;     // From dcdp of lsu_dcdp.v
927
wire                    stb_rdata_ramd_b74_buf; // From stb_rwdp of lsu_stb_rwdp.v
928
wire [69:0]             stb_rdata_ramd_buf;     // From stb_rwdp of lsu_stb_rwdp.v
929
wire [7:0]              stb_state_ced0;         // From stb_ctl0 of lsu_stb_ctl.v
930
wire [7:0]              stb_state_ced1;         // From stb_ctl1 of lsu_stb_ctl.v
931
wire [7:0]              stb_state_ced2;         // From stb_ctl2 of lsu_stb_ctl.v
932
wire [7:0]              stb_state_ced3;         // From stb_ctl3 of lsu_stb_ctl.v
933
wire [7:0]              stb_state_vld0;         // From stb_ctl0 of lsu_stb_ctl.v
934
wire [7:0]              stb_state_vld1;         // From stb_ctl1 of lsu_stb_ctl.v
935
wire [7:0]              stb_state_vld2;         // From stb_ctl2 of lsu_stb_ctl.v
936
wire [7:0]              stb_state_vld3;         // From stb_ctl3 of lsu_stb_ctl.v
937
wire [3:0]              stb_thrd_en_g;          // From stb_rwctl of lsu_stb_rwctl.v
938
wire [2:0]              stb_wrptr0;             // From stb_ctl0 of lsu_stb_ctl.v
939
wire [2:0]              stb_wrptr0_prev;        // From stb_ctl0 of lsu_stb_ctl.v
940
wire [2:0]              stb_wrptr1;             // From stb_ctl1 of lsu_stb_ctl.v
941
wire [2:0]              stb_wrptr1_prev;        // From stb_ctl1 of lsu_stb_ctl.v
942
wire [2:0]              stb_wrptr2;             // From stb_ctl2 of lsu_stb_ctl.v
943
wire [2:0]              stb_wrptr2_prev;        // From stb_ctl2 of lsu_stb_ctl.v
944
wire [2:0]              stb_wrptr3;             // From stb_ctl3 of lsu_stb_ctl.v
945
wire [2:0]              stb_wrptr3_prev;        // From stb_ctl3 of lsu_stb_ctl.v
946
wire                    strm_asi_m;             // From dctl of lsu_dctl.v
947
wire                    tag_rd_vld_g;           // From dctl of lsu_dctl.v
948
wire                    thread0_ctxt;           // From dctl of lsu_dctl.v
949
wire                    thread0_d;              // From dctl of lsu_dctl.v
950
wire                    thread0_e;              // From dctl of lsu_dctl.v
951
wire                    thread0_g;              // From dctl of lsu_dctl.v
952
wire                    thread0_m;              // From dctl of lsu_dctl.v
953
wire                    thread1_ctxt;           // From dctl of lsu_dctl.v
954
wire                    thread1_d;              // From dctl of lsu_dctl.v
955
wire                    thread1_e;              // From dctl of lsu_dctl.v
956
wire                    thread1_g;              // From dctl of lsu_dctl.v
957
wire                    thread1_m;              // From dctl of lsu_dctl.v
958
wire                    thread2_ctxt;           // From dctl of lsu_dctl.v
959
wire                    thread2_d;              // From dctl of lsu_dctl.v
960
wire                    thread2_e;              // From dctl of lsu_dctl.v
961
wire                    thread2_g;              // From dctl of lsu_dctl.v
962
wire                    thread2_m;              // From dctl of lsu_dctl.v
963
wire                    thread3_ctxt;           // From dctl of lsu_dctl.v
964
wire                    thread3_d;              // From dctl of lsu_dctl.v
965
wire                    thread3_e;              // From dctl of lsu_dctl.v
966
wire                    thread3_g;              // From dctl of lsu_dctl.v
967
wire                    thread3_m;              // From dctl of lsu_dctl.v
968
wire                    thread_actxt;           // From dctl of lsu_dctl.v
969
wire                    thread_default;         // From dctl of lsu_dctl.v
970
wire                    thread_pctxt;           // From dctl of lsu_dctl.v
971
wire                    thread_sctxt;           // From dctl of lsu_dctl.v
972
wire                    tlb_access_en0_g;       // From dctl of lsu_dctl.v
973
wire                    tlb_access_en1_g;       // From dctl of lsu_dctl.v
974
wire                    tlb_access_en2_g;       // From dctl of lsu_dctl.v
975
wire                    tlb_access_en3_g;       // From dctl of lsu_dctl.v
976
wire                    tlb_access_sel_default; // From dctl of lsu_dctl.v
977
wire                    tlb_access_sel_thrd0;   // From dctl of lsu_dctl.v
978
wire                    tlb_access_sel_thrd1;   // From dctl of lsu_dctl.v
979
wire                    tlb_access_sel_thrd2;   // From dctl of lsu_dctl.v
980
wire                    tlb_cam_hit;            // From dtlb of bw_r_tlb.v
981
wire                    tlb_cam_hit_g;          // From dctl of lsu_dctl.v
982
wire [12:0]             tlb_ctxt;               // From dctldp of lsu_dctldp.v
983
wire                    tlb_ldst_cam_vld;       // From dctl of lsu_dctl.v
984
wire [39:10]            tlb_pgnum;              // From dtlb of bw_r_tlb.v
985
wire [39:10]            tlb_pgnum_buf;          // From tlbdp of lsu_tlbdp.v
986
wire [39:37]            tlb_pgnum_buf2;         // From tlbdp of lsu_tlbdp.v
987
wire [39:10]            tlb_pgnum_crit;         // From dtlb of bw_r_tlb.v
988
wire [42:0]             tlb_rd_tte_data;        // From dtlb of bw_r_tlb.v
989
wire                    tlb_rd_tte_data_ie_buf; // From tlbdp of lsu_tlbdp.v
990
wire [58:0]             tlb_rd_tte_tag;         // From dtlb of bw_r_tlb.v
991
wire                    tlu_lsu_asi_update_g;   // From dctl of lsu_dctl.v
992
wire                    tte_data_parity_error;  // From tlbdp of lsu_tlbdp.v
993
wire                    tte_data_perror_unc;    // From excpctl of lsu_excpctl.v
994
wire                    tte_tag_parity_error;   // From tlbdp of lsu_tlbdp.v
995
wire                    unimp_asi_m;            // From dctl of lsu_dctl.v
996
wire                    va_wtchpt_cmp_en_m;     // From dctl of lsu_dctl.v
997
wire                    va_wtchpt_msk_match_m;  // From dctldp of lsu_dctldp.v
998
wire                    wr_only_asi_m;          // From dctl of lsu_dctl.v
999
wire                    wr_only_ltlb_asi_e;     // From dctl of lsu_dctl.v
1000
// End of automatics
1001
 
1002
 
1003
//split bus. emacs cannot handle
1004
input [`CPX_WIDTH-1:0]  cpx_spc_data_cx;   // cpx to processor pkt  
1005
input [`PCX_WIDTH-1:0]  spu_lsu_ldst_pckt;
1006
input [47:0]            exu_lsu_ldst_va_e;  // VA for mem-ref (src-execute) 
1007
input [10:3]            exu_lsu_early_va_e;  // early partial VA for lookup
1008
input   [80:0]           ffu_lsu_data ;
1009
 
1010
 
1011
output [7:0]            lsu_asi_state;
1012
output [47:4]           lsu_ifu_err_addr;
1013
output [15:0]            lsu_sscan_data ;        // fragmented across dbbs
1014
output                  ifu_tlu_inst_vld_m_bf1;
1015
output [2:0]             lsu_ffu_bld_cnt_w ;
1016
 
1017
wire [47:0]  lsu_local_ldxa_data_g;
1018
wire [43:0]  lsu_iobrdge_rd_data;
1019
wire [79:0]  stb_rdata_ramd;
1020
wire [75:64]  stb_wdata_ramd_b75_b64;
1021
wire [63:0]   lsu_stb_st_data_g;
1022
 
1023
wire [151:0] dfq_rdata;
1024
wire [151:0] dfq_wdata;
1025
wire         lsu_cpx_stack_icfill_vld;
1026
wire [29:0]  dtag_wdata_m;
1027
   wire      lsu_cpx_stack_dcfill_vld_b130;
1028
   wire [7:0] stb_ldst_byte_msk_min;
1029
 
1030
// scan chain
1031
input                   si0,si1,short_si1,short_si0;
1032
output                  so0, so1,short_so0,short_so1;
1033
   wire     short_scan1_1;
1034
   wire     short_scan1_2;
1035
   wire     short_scan1_3;
1036
   wire     short_scan1_4;
1037
   wire     short_scan1_5;
1038
   wire     short_scan1_6;
1039
   wire     short_scan1_7;
1040
   wire     short_scan1_8;
1041
 
1042
   wire     short_scan0_1;
1043
   wire     short_scan0_2;
1044
   wire     short_scan0_3;
1045
   wire     short_scan0_4;
1046
   wire     short_scan0_5;
1047
   wire     short_scan0_6;
1048
   wire     short_scan0_7;
1049
   wire     short_scan0_8;
1050
   wire     short_scan0_9;
1051
 
1052
   wire     scan1_1;
1053
   wire     scan1_2;
1054
   wire     scan1_3;
1055
   wire     scan1_4;
1056
 
1057
   wire     scan0_1;
1058
   wire     scan0_2;
1059
 
1060
/*defined input*/
1061
 
1062
input [7:0]            exu_tlu_wsr_data_m;
1063
 
1064
/*defined output*/
1065
 
1066
output                  lsu_tlu_nucleus_ctxt_m ;// access is nucleus context //??no driver
1067
output  [2:0]           lsu_tlu_tte_pg_sz_g ;   // page-size of tte //??no driver
1068
 
1069
 
1070
// dsfsr support moved from tlu_tcl to lsu_excpctl ; becomes wire
1071
// !! first check if needed by iside in tlu_tcl, or mmu_ctl !!!
1072
//output                        lsu_tlu_nonalt_ldst_m;  // From dctl of lsu_dctl.v
1073
output                  lsu_tlu_squash_va_oor_m;// From dctl of lsu_dctl.v
1074
output                  lsu_tlu_wtchpt_trp_g;   // From excpctl of lsu_excpctl.v
1075
//output                        lsu_tlu_priv_violtn_g;  // From excpctl of lsu_excpctl.v
1076
output                  lsu_tlu_daccess_prot_g; // From excpctl of lsu_excpctl.v
1077
output                  lsu_tlu_priv_action_g;  // From excpctl of lsu_excpctl.v
1078
// To accommodate 1Thread design
1079
wire [3:0]               lsu_tlu_stb_full_w2_t;  // To accommodate 1T design   
1080
   wire [7:0]            lsu_asi_reg0_t;
1081
   wire [7:0]            lsu_asi_reg1_t;
1082
   wire [7:0]            lsu_asi_reg2_t;
1083
   wire [7:0]            lsu_asi_reg3_t;
1084
   wire [12:0]           lsu_t0_pctxt_state_t;
1085
   wire [12:0]           lsu_t1_pctxt_state_t;
1086
   wire [12:0]           lsu_t2_pctxt_state_t;
1087
   wire [12:0]           lsu_t3_pctxt_state_t;
1088
   wire [2:0]            lsu_pid_state0_t;
1089
   wire [2:0]            lsu_pid_state1_t;
1090
   wire [2:0]            lsu_pid_state2_t;
1091
   wire [2:0]            lsu_pid_state3_t;
1092
 
1093
`ifdef FPGA_SYN_1THREAD
1094
   assign               lsu_tlu_stb_full_w2[3:0] = {3'b000, lsu_tlu_stb_full_w2_t[0]};
1095
   assign               lsu_asi_reg0[7:0] = lsu_asi_reg0_t[7:0];
1096
   assign               lsu_asi_reg1[7:0] = 7'b0000000;
1097
   assign               lsu_asi_reg2[7:0] = 7'b0000000;
1098
   assign               lsu_asi_reg3[7:0] = 7'b0000000;
1099
   assign               lsu_t0_pctxt_state[12:0] = lsu_t0_pctxt_state_t[12:0];
1100
   assign               lsu_t1_pctxt_state[12:0] = 13'b0000000000000;
1101
   assign               lsu_t2_pctxt_state[12:0] = 13'b0000000000000;
1102
   assign               lsu_t3_pctxt_state[12:0] = 13'b0000000000000;
1103
   assign               lsu_pid_state0[2:0] = lsu_pid_state0_t[2:0];
1104
   assign               lsu_pid_state1[2:0] = 2'b00;
1105
   assign               lsu_pid_state2[2:0] = 2'b00;
1106
   assign               lsu_pid_state3[2:0] = 2'b00;
1107
 
1108
`else
1109
   assign               lsu_tlu_stb_full_w2[3:0] = lsu_tlu_stb_full_w2_t[3:0];
1110
   assign               lsu_asi_reg0[7:0] = lsu_asi_reg0_t[7:0];
1111
   assign               lsu_asi_reg1[7:0] = lsu_asi_reg1_t[7:0];
1112
   assign               lsu_asi_reg2[7:0] = lsu_asi_reg2_t[7:0];
1113
   assign               lsu_asi_reg3[7:0] = lsu_asi_reg3_t[7:0];
1114
   assign               lsu_t0_pctxt_state[12:0] = lsu_t0_pctxt_state_t[12:0];
1115
   assign               lsu_t1_pctxt_state[12:0] = lsu_t1_pctxt_state_t[12:0];
1116
   assign               lsu_t2_pctxt_state[12:0] = lsu_t2_pctxt_state_t[12:0];
1117
   assign               lsu_t3_pctxt_state[12:0] = lsu_t3_pctxt_state_t[12:0];
1118
   assign               lsu_pid_state0[2:0] = lsu_pid_state0_t[2:0];
1119
   assign               lsu_pid_state1[2:0] = lsu_pid_state1_t[2:0];
1120
   assign               lsu_pid_state2[2:0] = lsu_pid_state2_t[2:0];
1121
   assign               lsu_pid_state3[2:0] = lsu_pid_state3_t[2:0];
1122
 
1123
`endif
1124
 
1125
 
1126
/* lsu_qctl1 AUTO_TEMPLATE (
1127
                .grst_l                 (gdbginit_l),
1128
                .rst_tri_en             (mux_drive_disable),
1129
                .lsu_ttype_vld_m2       (lsu_ttype_vld_m2_bf1),
1130
                .lsu_ldst_va_m          (lsu_ldst_va_m_buf[7:6]),
1131
                .ifu_lsu_pcxpkt_e_b50   (ifu_lsu_pcxpkt_e[50]),
1132
                .rclk                   (clk),
1133
                .lsu_sscan_data         (lsu_sscan_data[12:0]),
1134
                .ld_inst_vld_e          (ifu_lsu_ld_inst_e),
1135
                .lsu_ld_miss_g          (lsu_ld_miss_wb),
1136
                .spu_lsu_ldst_pckt_vld  (spu_lsu_ldst_pckt[`PCX_VLD]),
1137
                .lsu_stb_empty          (lsu_stb_empty_buf[3:0]),
1138
                .tlb_pgnum_g            (tlb_pgnum_buf[39:37]),
1139
                .tlu_lsu_pcxpkt_l2baddr (tlu_lsu_pcxpkt[11:10]),
1140
                .tlu_lsu_pcxpkt_tid     (tlu_lsu_pcxpkt[19:18]),
1141
                .tlu_lsu_pcxpkt_vld     (tlu_lsu_pcxpkt[25]),
1142
                .ld_thrd_byp_sel_e      (lsu_ld_thrd_byp_sel_e[2:0]));
1143
*/
1144
 
1145
 
1146
`ifdef FPGA_SYN_1THREAD
1147
 
1148
lsu_qctl1 qctl1  (
1149
                  .so                   (short_scan1_1),
1150
                  .si                   (short_si1),
1151
                  /*AUTOINST*/
1152
                  // Outputs
1153
                  .lsu_bld_helper_cmplt_m(lsu_bld_helper_cmplt_m),
1154
                  .lsu_bld_cnt_m        (lsu_bld_cnt_m[2:0]),
1155
                  .lsu_bld_reset        (lsu_bld_reset),
1156
                  .lsu_pcx_rq_sz_b3     (lsu_pcx_rq_sz_b3),
1157
                  .lsu_ramtest_rd_w     (lsu_ramtest_rd_w),
1158
                  .ld_stb_full_raw_w2   (ld_stb_full_raw_w2),
1159
                  .lsu_ld_pcx_rq_sel_d2 (lsu_ld_pcx_rq_sel_d2[3:0]),
1160
                  .spc_pcx_req_pq       (spc_pcx_req_pq[4:0]),
1161
                  .spc_pcx_atom_pq      (spc_pcx_atom_pq),
1162
                  .lsu_ifu_pcxpkt_ack_d (lsu_ifu_pcxpkt_ack_d),
1163
                  .pcx_pkt_src_sel      (pcx_pkt_src_sel[3:0]),
1164
                  .lmq_enable           (lmq_enable[3:0]),
1165
                  .imiss_pcx_mx_sel     (imiss_pcx_mx_sel),
1166
                  .fwd_int_fp_pcx_mx_sel(fwd_int_fp_pcx_mx_sel[2:0]),
1167
                  .lsu_ffu_bld_cnt_w    (lsu_ffu_bld_cnt_w[2:0]),
1168
                  .lsu_ld_pcx_rq_mxsel  (lsu_ld_pcx_rq_mxsel[3:0]),
1169
                  .ld_pcx_thrd          (ld_pcx_thrd[1:0]),
1170
                  .lsu_spu_ldst_ack     (lsu_spu_ldst_ack),
1171
                  .pcx_rq_for_stb       (pcx_rq_for_stb[3:0]),
1172
                  .pcx_rq_for_stb_d1    (pcx_rq_for_stb_d1[3:0]),
1173
                  .lsu_ffu_ack          (lsu_ffu_ack),
1174
                  .lsu_ifu_ld_pcxpkt_vld(lsu_ifu_ld_pcxpkt_vld),
1175
                  .lsu_pcx_req_squash0  (lsu_pcx_req_squash0),
1176
                  .lsu_pcx_req_squash1  (lsu_pcx_req_squash1),
1177
                  .lsu_pcx_req_squash2  (lsu_pcx_req_squash2),
1178
                  .lsu_pcx_req_squash3  (lsu_pcx_req_squash3),
1179
                  .lsu_pcx_req_squash_d1(lsu_pcx_req_squash_d1),
1180
                  .lsu_pcx_ld_dtag_perror_w2(lsu_pcx_ld_dtag_perror_w2),
1181
                  .lsu_tlu_dcache_miss_w2(lsu_tlu_dcache_miss_w2[3:0]),
1182
                  .lsu_bld_pcx_rq       (lsu_bld_pcx_rq),
1183
                  .lsu_bld_rq_addr      (lsu_bld_rq_addr[1:0]),
1184
                  .lsu_fwdpkt_pcx_rq_sel(lsu_fwdpkt_pcx_rq_sel),
1185
                  .lsu_imiss_pcx_rq_sel_d1(lsu_imiss_pcx_rq_sel_d1),
1186
                  .lsu_tlu_pcxpkt_ack   (lsu_tlu_pcxpkt_ack),
1187
                  .lsu_intrpt_cmplt     (lsu_intrpt_cmplt[3:0]),
1188
                  .lsu_lmq_byp_misc_sel (lsu_lmq_byp_misc_sel[3:0]),
1189
                  .lsu_sscan_data       (lsu_sscan_data[12:0]),  // Templated
1190
                  .lsu_dfq_byp_tid_d1_sel(lsu_dfq_byp_tid_d1_sel[3:0]),
1191
                  .lmq0_pcx_pkt_way     (lmq0_pcx_pkt_way[1:0]),
1192
                  .lmq1_pcx_pkt_way     (),
1193
                  .lmq2_pcx_pkt_way     (),
1194
                  .lmq3_pcx_pkt_way     (),
1195
                  .lsu_st_pcx_rq_pick   (lsu_st_pcx_rq_pick[3:0]),
1196
                  .lsu_stb_pcx_rvld_d1  (lsu_stb_pcx_rvld_d1),
1197
                  .lsu_stb_rd_tid       (lsu_stb_rd_tid[1:0]),
1198
                  .lsu_ld0_spec_vld_kill_w2(lsu_ld0_spec_vld_kill_w2),
1199
                  .lsu_ld1_spec_vld_kill_w2(),
1200
                  .lsu_ld2_spec_vld_kill_w2(),
1201
                  .lsu_ld3_spec_vld_kill_w2(),
1202
                  .lsu_st_pcx_rq_vld    (lsu_st_pcx_rq_vld),
1203
                  // Inputs
1204
                  .rclk                 (clk),                   // Templated
1205
                  .se                   (se),
1206
                  .sehold               (sehold),
1207
                  .grst_l               (gdbginit_l),            // Templated
1208
                  .arst_l               (arst_l),
1209
                  .lsu_quad_word_access_g(lsu_quad_word_access_g),
1210
                  .pcx_spc_grant_px     (pcx_spc_grant_px[4:0]),
1211
                  .ld_inst_vld_e        (ifu_lsu_ld_inst_e),     // Templated
1212
                  .lsu_ldst_va_m        (lsu_ldst_va_m_buf[7:6]), // Templated
1213
                  .stb0_l2b_addr        (stb0_l2b_addr[2:0]),
1214
                  .stb1_l2b_addr        (3'b000),
1215
                  .stb2_l2b_addr        (3'b000),
1216
                  .stb3_l2b_addr        (3'b000),
1217
                  .lsu_ld_miss_g        (lsu_ld_miss_wb),        // Templated
1218
                  .ifu_lsu_ldst_fp_e    (ifu_lsu_ldst_fp_e),
1219
                  .ld_rawp_st_ced_w2    (ld_rawp_st_ced_w2),
1220
                  .ld_rawp_st_ackid_w2  (ld_rawp_st_ackid_w2[2:0]),
1221
                  .stb0_crnt_ack_id     (stb0_crnt_ack_id[2:0]),
1222
                  .stb1_crnt_ack_id     (3'b000),
1223
                  .stb2_crnt_ack_id     (3'b000),
1224
                  .stb3_crnt_ack_id     (3'b000),
1225
                  .ifu_tlu_thrid_e      (ifu_tlu_thrid_e[1:0]),
1226
                  .ldxa_internal        (ldxa_internal),
1227
                  .spu_lsu_ldst_pckt    (spu_lsu_ldst_pckt[`PCX_AD_LO+7:`PCX_AD_LO+6]),
1228
                  .spu_lsu_ldst_pckt_vld(spu_lsu_ldst_pckt[`PCX_VLD]), // Templated
1229
                  .ifu_tlu_inst_vld_m   (ifu_tlu_inst_vld_m),
1230
                  .ifu_lsu_flush_w      (ifu_lsu_flush_w),
1231
                  .ifu_lsu_casa_e       (ifu_lsu_casa_e),
1232
                  .lsu_ldstub_g         (lsu_ldstub_g),
1233
                  .lsu_swap_g           (lsu_swap_g),
1234
                  .stb0_atm_rq_type     (stb0_atm_rq_type[2:1]),
1235
                  .stb1_atm_rq_type     (2'b00),
1236
                  .stb2_atm_rq_type     (2'b00),
1237
                  .stb3_atm_rq_type     (2'b00),
1238
                  .tlb_pgnum_g          (tlb_pgnum_buf[39:37]),  // Templated
1239
                  .stb_rd_for_pcx       ({3'b000, stb_rd_for_pcx[0]}),
1240
                  .ffu_lsu_data         (ffu_lsu_data[80:79]),
1241
                  .ffu_lsu_fpop_rq_vld  (ffu_lsu_fpop_rq_vld),
1242
                  .ifu_lsu_ldst_dbl_e   (ifu_lsu_ldst_dbl_e),
1243
                  .ifu_lsu_pcxreq_d     (ifu_lsu_pcxreq_d),
1244
                  .ifu_lsu_destid_s     (ifu_lsu_destid_s[2:0]),
1245
                  .ifu_lsu_pref_inst_e  (ifu_lsu_pref_inst_e),
1246
                  .tlb_cam_hit_g        (tlb_cam_hit_g),
1247
                  .lsu_blk_asi_m        (lsu_blk_asi_m),
1248
                  .stb_cam_hit_bf       (stb_cam_hit_bf),
1249
                  .lsu_fwdpkt_vld       (lsu_fwdpkt_vld),
1250
                  .lsu_dcfill_active_e  (lsu_dcfill_active_e),
1251
                  .dfq_byp_sel          (dfq_byp_sel[3:0]),
1252
                  .lsu_dfq_ld_vld       (lsu_dfq_ld_vld),
1253
                  .lsu_fldd_vld_en      (lsu_fldd_vld_en),
1254
                  .lsu_dfill_dcd_thrd   (lsu_dfill_dcd_thrd[3:0]),
1255
                  .lsu_fwdpkt_dest      (lsu_fwdpkt_dest[4:0]),
1256
                  .tlu_lsu_pcxpkt_tid   (tlu_lsu_pcxpkt[19:18]), // Templated
1257
                  .lsu_stb_empty        (lsu_stb_empty_buf[3:0]), // Templated
1258
                  .tlu_lsu_pcxpkt_vld   (tlu_lsu_pcxpkt[25]),    // Templated
1259
                  .tlu_lsu_pcxpkt_l2baddr(tlu_lsu_pcxpkt[11:10]), // Templated
1260
                  .ld_sec_hit_thrd0     (ld_sec_hit_thrd0),
1261
                  .ld_sec_hit_thrd1     (1'b0),
1262
                  .ld_sec_hit_thrd2     (1'b0),
1263
                  .ld_sec_hit_thrd3     (1'b0),
1264
                  .ld_thrd_byp_sel_e    (lsu_ld_thrd_byp_sel_e[2:0]), // Templated
1265
                  .lsu_st_pcx_rq_kill_w2({3'b000, lsu_st_pcx_rq_kill_w2[0]}),
1266
                  .ifu_lsu_alt_space_e  (ifu_lsu_alt_space_e),
1267
                  .lsu_dfq_byp_tid      (lsu_dfq_byp_tid[1:0]),
1268
                  .dfq_byp_ff_en        (dfq_byp_ff_en),
1269
                  .stb_ld_full_raw      (stb_ld_full_raw[7:0]),
1270
                  .stb_ld_partial_raw   (stb_ld_partial_raw[7:0]),
1271
                  .stb_cam_mhit         (stb_cam_mhit),
1272
                  .lsu_ldquad_inst_m    (lsu_ldquad_inst_m),
1273
                  .stb_cam_wr_no_ivld_m (stb_cam_wr_no_ivld_m),
1274
                  .lsu_ldst_va_way_g    (lsu_ldst_va_way_g[1:0]),
1275
                  .lsu_dcache_rand      (lsu_dcache_rand[1:0]),
1276
                  .lsu_encd_way_hit     (lsu_encd_way_hit[1:0]),
1277
                  .lsu_way_hit_or       (lsu_way_hit_or),
1278
                  .dc_direct_map        (dc_direct_map),
1279
                  .lsu_tlb_perr_ld_rq_kill_w(lsu_tlb_perr_ld_rq_kill_w),
1280
                  .lsu_dcache_tag_perror_g(lsu_dcache_tag_perror_g),
1281
                  .lsu_ld_inst_vld_g    (lsu_ld_inst_vld_g[3:0]),
1282
                  .asi_internal_m       (asi_internal_m),
1283
                  .ifu_lsu_pcxpkt_e_b50 (ifu_lsu_pcxpkt_e[50]),  // Templated
1284
                  .lda_internal_m       (lda_internal_m),
1285
                  .atomic_m             (atomic_m),
1286
                  .lsu_dcache_iob_rd_w  (lsu_dcache_iob_rd_w),
1287
                  .ifu_lsu_fwd_data_vld (ifu_lsu_fwd_data_vld),
1288
                  .rst_tri_en           (mux_drive_disable),     // Templated
1289
                  .lsu_no_spc_pref      (lsu_no_spc_pref[3:0]),
1290
                  .tlu_early_flush_pipe2_w(tlu_early_flush_pipe2_w),
1291
                  .lsu_ttype_vld_m2     (lsu_ttype_vld_m2_bf1));  // Templated
1292
`else // !`ifdef FPGA_SYN_1THREAD
1293
 
1294
   lsu_qctl1 qctl1  (
1295
                  .so                   (short_scan1_1),
1296
                  .si                   (short_si1),
1297
                  /*AUTOINST*/
1298
                  // Outputs
1299
                  .lsu_bld_helper_cmplt_m(lsu_bld_helper_cmplt_m),
1300
                  .lsu_bld_cnt_m        (lsu_bld_cnt_m[2:0]),
1301
                  .lsu_bld_reset        (lsu_bld_reset),
1302
                  .lsu_pcx_rq_sz_b3     (lsu_pcx_rq_sz_b3),
1303
                  .lsu_ramtest_rd_w     (lsu_ramtest_rd_w),
1304
                  .ld_stb_full_raw_w2   (ld_stb_full_raw_w2),
1305
                  .lsu_ld_pcx_rq_sel_d2 (lsu_ld_pcx_rq_sel_d2[3:0]),
1306
                  .spc_pcx_req_pq       (spc_pcx_req_pq[4:0]),
1307
                  .spc_pcx_atom_pq      (spc_pcx_atom_pq),
1308
                  .lsu_ifu_pcxpkt_ack_d (lsu_ifu_pcxpkt_ack_d),
1309
                  .pcx_pkt_src_sel      (pcx_pkt_src_sel[3:0]),
1310
                  .lmq_enable           (lmq_enable[3:0]),
1311
                  .imiss_pcx_mx_sel     (imiss_pcx_mx_sel),
1312
                  .fwd_int_fp_pcx_mx_sel(fwd_int_fp_pcx_mx_sel[2:0]),
1313
                  .lsu_ffu_bld_cnt_w    (lsu_ffu_bld_cnt_w[2:0]),
1314
                  .lsu_ld_pcx_rq_mxsel  (lsu_ld_pcx_rq_mxsel[3:0]),
1315
                  .ld_pcx_thrd          (ld_pcx_thrd[1:0]),
1316
                  .lsu_spu_ldst_ack     (lsu_spu_ldst_ack),
1317
                  .pcx_rq_for_stb       (pcx_rq_for_stb[3:0]),
1318
                  .pcx_rq_for_stb_d1    (pcx_rq_for_stb_d1[3:0]),
1319
                  .lsu_ffu_ack          (lsu_ffu_ack),
1320
                  .lsu_ifu_ld_pcxpkt_vld(lsu_ifu_ld_pcxpkt_vld),
1321
                  .lsu_pcx_req_squash0  (lsu_pcx_req_squash0),
1322
                  .lsu_pcx_req_squash1  (lsu_pcx_req_squash1),
1323
                  .lsu_pcx_req_squash2  (lsu_pcx_req_squash2),
1324
                  .lsu_pcx_req_squash3  (lsu_pcx_req_squash3),
1325
                  .lsu_pcx_req_squash_d1(lsu_pcx_req_squash_d1),
1326
                  .lsu_pcx_ld_dtag_perror_w2(lsu_pcx_ld_dtag_perror_w2),
1327
                  .lsu_tlu_dcache_miss_w2(lsu_tlu_dcache_miss_w2[3:0]),
1328
                  .lsu_bld_pcx_rq       (lsu_bld_pcx_rq),
1329
                  .lsu_bld_rq_addr      (lsu_bld_rq_addr[1:0]),
1330
                  .lsu_fwdpkt_pcx_rq_sel(lsu_fwdpkt_pcx_rq_sel),
1331
                  .lsu_imiss_pcx_rq_sel_d1(lsu_imiss_pcx_rq_sel_d1),
1332
                  .lsu_tlu_pcxpkt_ack   (lsu_tlu_pcxpkt_ack),
1333
                  .lsu_intrpt_cmplt     (lsu_intrpt_cmplt[3:0]),
1334
                  .lsu_lmq_byp_misc_sel (lsu_lmq_byp_misc_sel[3:0]),
1335
                  .lsu_sscan_data       (lsu_sscan_data[12:0]),  // Templated
1336
                  .lsu_dfq_byp_tid_d1_sel(lsu_dfq_byp_tid_d1_sel[3:0]),
1337
                  .lmq0_pcx_pkt_way     (lmq0_pcx_pkt_way[1:0]),
1338
                  .lmq1_pcx_pkt_way     (lmq1_pcx_pkt_way[1:0]),
1339
                  .lmq2_pcx_pkt_way     (lmq2_pcx_pkt_way[1:0]),
1340
                  .lmq3_pcx_pkt_way     (lmq3_pcx_pkt_way[1:0]),
1341
                  .lsu_st_pcx_rq_pick   (lsu_st_pcx_rq_pick[3:0]),
1342
                  .lsu_stb_pcx_rvld_d1  (lsu_stb_pcx_rvld_d1),
1343
                  .lsu_stb_rd_tid       (lsu_stb_rd_tid[1:0]),
1344
                  .lsu_ld0_spec_vld_kill_w2(lsu_ld0_spec_vld_kill_w2),
1345
                  .lsu_ld1_spec_vld_kill_w2(lsu_ld1_spec_vld_kill_w2),
1346
                  .lsu_ld2_spec_vld_kill_w2(lsu_ld2_spec_vld_kill_w2),
1347
                  .lsu_ld3_spec_vld_kill_w2(lsu_ld3_spec_vld_kill_w2),
1348
                  .lsu_st_pcx_rq_vld    (lsu_st_pcx_rq_vld),
1349
                  // Inputs
1350
                  .rclk                 (clk),                   // Templated
1351
                  .se                   (se),
1352
                  .sehold               (sehold),
1353
                  .grst_l               (gdbginit_l),            // Templated
1354
                  .arst_l               (arst_l),
1355
                  .lsu_quad_word_access_g(lsu_quad_word_access_g),
1356
                  .pcx_spc_grant_px     (pcx_spc_grant_px[4:0]),
1357
                  .ld_inst_vld_e        (ifu_lsu_ld_inst_e),     // Templated
1358
                  .lsu_ldst_va_m        (lsu_ldst_va_m_buf[7:6]), // Templated
1359
                  .stb0_l2b_addr        (stb0_l2b_addr[2:0]),
1360
                  .stb1_l2b_addr        (stb1_l2b_addr[2:0]),
1361
                  .stb2_l2b_addr        (stb2_l2b_addr[2:0]),
1362
                  .stb3_l2b_addr        (stb3_l2b_addr[2:0]),
1363
                  .lsu_ld_miss_g        (lsu_ld_miss_wb),        // Templated
1364
                  .ifu_lsu_ldst_fp_e    (ifu_lsu_ldst_fp_e),
1365
                  .ld_rawp_st_ced_w2    (ld_rawp_st_ced_w2),
1366
                  .ld_rawp_st_ackid_w2  (ld_rawp_st_ackid_w2[2:0]),
1367
                  .stb0_crnt_ack_id     (stb0_crnt_ack_id[2:0]),
1368
                  .stb1_crnt_ack_id     (stb1_crnt_ack_id[2:0]),
1369
                  .stb2_crnt_ack_id     (stb2_crnt_ack_id[2:0]),
1370
                  .stb3_crnt_ack_id     (stb3_crnt_ack_id[2:0]),
1371
                  .ifu_tlu_thrid_e      (ifu_tlu_thrid_e[1:0]),
1372
                  .ldxa_internal        (ldxa_internal),
1373
                  .spu_lsu_ldst_pckt    (spu_lsu_ldst_pckt[`PCX_AD_LO+7:`PCX_AD_LO+6]),
1374
                  .spu_lsu_ldst_pckt_vld(spu_lsu_ldst_pckt[`PCX_VLD]), // Templated
1375
                  .ifu_tlu_inst_vld_m   (ifu_tlu_inst_vld_m),
1376
                  .ifu_lsu_flush_w      (ifu_lsu_flush_w),
1377
                  .ifu_lsu_casa_e       (ifu_lsu_casa_e),
1378
                  .lsu_ldstub_g         (lsu_ldstub_g),
1379
                  .lsu_swap_g           (lsu_swap_g),
1380
                  .stb0_atm_rq_type     (stb0_atm_rq_type[2:1]),
1381
                  .stb1_atm_rq_type     (stb1_atm_rq_type[2:1]),
1382
                  .stb2_atm_rq_type     (stb2_atm_rq_type[2:1]),
1383
                  .stb3_atm_rq_type     (stb3_atm_rq_type[2:1]),
1384
                  .tlb_pgnum_g          (tlb_pgnum_buf[39:37]),  // Templated
1385
                  .stb_rd_for_pcx       (stb_rd_for_pcx[3:0]),
1386
                  .ffu_lsu_data         (ffu_lsu_data[80:79]),
1387
                  .ffu_lsu_fpop_rq_vld  (ffu_lsu_fpop_rq_vld),
1388
                  .ifu_lsu_ldst_dbl_e   (ifu_lsu_ldst_dbl_e),
1389
                  .ifu_lsu_pcxreq_d     (ifu_lsu_pcxreq_d),
1390
                  .ifu_lsu_destid_s     (ifu_lsu_destid_s[2:0]),
1391
                  .ifu_lsu_pref_inst_e  (ifu_lsu_pref_inst_e),
1392
                  .tlb_cam_hit_g        (tlb_cam_hit_g),
1393
                  .lsu_blk_asi_m        (lsu_blk_asi_m),
1394
                  .stb_cam_hit_bf       (stb_cam_hit_bf),
1395
                  .lsu_fwdpkt_vld       (lsu_fwdpkt_vld),
1396
                  .lsu_dcfill_active_e  (lsu_dcfill_active_e),
1397
                  .dfq_byp_sel          (dfq_byp_sel[3:0]),
1398
                  .lsu_dfq_ld_vld       (lsu_dfq_ld_vld),
1399
                  .lsu_fldd_vld_en      (lsu_fldd_vld_en),
1400
                  .lsu_dfill_dcd_thrd   (lsu_dfill_dcd_thrd[3:0]),
1401
                  .lsu_fwdpkt_dest      (lsu_fwdpkt_dest[4:0]),
1402
                  .tlu_lsu_pcxpkt_tid   (tlu_lsu_pcxpkt[19:18]), // Templated
1403
                  .lsu_stb_empty        (lsu_stb_empty_buf[3:0]), // Templated
1404
                  .tlu_lsu_pcxpkt_vld   (tlu_lsu_pcxpkt[25]),    // Templated
1405
                  .tlu_lsu_pcxpkt_l2baddr(tlu_lsu_pcxpkt[11:10]), // Templated
1406
                  .ld_sec_hit_thrd0     (ld_sec_hit_thrd0),
1407
                  .ld_sec_hit_thrd1     (ld_sec_hit_thrd1),
1408
                  .ld_sec_hit_thrd2     (ld_sec_hit_thrd2),
1409
                  .ld_sec_hit_thrd3     (ld_sec_hit_thrd3),
1410
                  .ld_thrd_byp_sel_e    (lsu_ld_thrd_byp_sel_e[2:0]), // Templated
1411
                  .lsu_st_pcx_rq_kill_w2(lsu_st_pcx_rq_kill_w2[3:0]),
1412
                  .ifu_lsu_alt_space_e  (ifu_lsu_alt_space_e),
1413
                  .lsu_dfq_byp_tid      (lsu_dfq_byp_tid[1:0]),
1414
                  .dfq_byp_ff_en        (dfq_byp_ff_en),
1415
                  .stb_ld_full_raw      (stb_ld_full_raw[7:0]),
1416
                  .stb_ld_partial_raw   (stb_ld_partial_raw[7:0]),
1417
                  .stb_cam_mhit         (stb_cam_mhit),
1418
                  .lsu_ldquad_inst_m    (lsu_ldquad_inst_m),
1419
                  .stb_cam_wr_no_ivld_m (stb_cam_wr_no_ivld_m),
1420
                  .lsu_ldst_va_way_g    (lsu_ldst_va_way_g[1:0]),
1421
                  .lsu_dcache_rand      (lsu_dcache_rand[1:0]),
1422
                  .lsu_encd_way_hit     (lsu_encd_way_hit[1:0]),
1423
                  .lsu_way_hit_or       (lsu_way_hit_or),
1424
                  .dc_direct_map        (dc_direct_map),
1425
                  .lsu_tlb_perr_ld_rq_kill_w(lsu_tlb_perr_ld_rq_kill_w),
1426
                  .lsu_dcache_tag_perror_g(lsu_dcache_tag_perror_g),
1427
                  .lsu_ld_inst_vld_g    (lsu_ld_inst_vld_g[3:0]),
1428
                  .asi_internal_m       (asi_internal_m),
1429
                  .ifu_lsu_pcxpkt_e_b50 (ifu_lsu_pcxpkt_e[50]),  // Templated
1430
                  .lda_internal_m       (lda_internal_m),
1431
                  .atomic_m             (atomic_m),
1432
                  .lsu_dcache_iob_rd_w  (lsu_dcache_iob_rd_w),
1433
                  .ifu_lsu_fwd_data_vld (ifu_lsu_fwd_data_vld),
1434
                  .rst_tri_en           (mux_drive_disable),     // Templated
1435
                  .lsu_no_spc_pref      (lsu_no_spc_pref[3:0]),
1436
                  .tlu_early_flush_pipe2_w(tlu_early_flush_pipe2_w),
1437
                  .lsu_ttype_vld_m2     (lsu_ttype_vld_m2_bf1));  // Templated
1438
`endif // !`ifdef FPGA_SYN_1THREAD
1439
 
1440
 
1441
/* lsu_qctl2 AUTO_TEMPLATE (
1442
                .rst_tri_en             (mux_drive_disable),
1443
                .rclk                    (clk),
1444
                .lsu_dfq_rdata_b103      (dfq_rdata[103]),
1445
                .lsu_dfq_rdata_rq_type   (dfq_rdata[`CPX_WIDTH-2:`CPX_WIDTH-5]),
1446
                .lsu_dfq_rdata_type      (dfq_rdata[`DFQ_WIDTH-1:`DFQ_WIDTH-6]),
1447
                .lsu_dfq_rdata_invwy_vld (dfq_rdata[`CPX_WYVLD]),
1448
                .lsu_dfq_rdata_cpuid     (dfq_rdata[`CPX_INV_CID_HI:`CPX_INV_CID_LO]),
1449
                .lsu_dfq_rdata_stack_iinv_vld(dfq_rdata[128]),
1450
                .lsu_dfq_rdata_st_ack_type(dfq_rdata[`DFQ_WIDTH-4]),
1451
                .lsu_dfq_rdata_stack_dcfill_vld(dfq_rdata[130]),
1452
                .lsu_ifill_pkt_vld      (lsu_ifu_cpxpkt_vld_i1),
1453
                .lsu_dfq_vld_entry_w    (lsu_sscan_data[15]),
1454
                .ifu_pcx_pkt_b10t5      (ifu_lsu_pcxpkt_e[10:5]),
1455
                .ifu_pcx_pkt_b41t40     (ifu_lsu_pcxpkt_e[41:40]),
1456
                .ifu_pcx_pkt_b51        (ifu_lsu_pcxpkt_e[51]),
1457
                .ld_inst_vld_e          (ifu_lsu_ld_inst_e),
1458
                .lsu_l2fill_fpld_e      (lsu_l2fill_fpld_e),
1459
                .lsu_dfq_rdata_flush_bit (dfq_rdata[136]),
1460
                .lsu_dfq_rdata_b17_b0    (dfq_rdata[17:0]),
1461
                .lsu_ld_miss_g          (lsu_ld_miss_wb));
1462
*/
1463
 
1464
`ifdef FPGA_SYN_1THREAD
1465
 
1466
lsu_qctl2 qctl2  (
1467
                  .so                   (scan1_1),
1468
                  .si                   (si1),
1469
                  .lsu_cpx_stack_dcfill_vld_b130  (lsu_cpx_stack_dcfill_vld_b130),
1470
 
1471
                  .cpx_spc_data_cx_b144to140  (cpx_spc_data_cx[`CPX_WIDTH-1:140]),
1472
                  .cpx_spc_data_cx_b138       (cpx_spc_data_cx[138]),
1473
                  .cpx_spc_data_cx_b135to134  (cpx_spc_data_cx[`CPX_TH_HI:`CPX_TH_LO]),
1474
                  .cpx_spc_data_cx_b133       (cpx_spc_data_cx[`CPX_WYVLD]),
1475
                  .cpx_spc_data_cx_b130       (cpx_spc_data_cx[130]),
1476
                  .cpx_spc_data_cx_b129       (cpx_spc_data_cx[129]),
1477
                  .cpx_spc_data_cx_b128       (cpx_spc_data_cx[128]),
1478
                  .cpx_spc_data_cx_b125       (cpx_spc_data_cx[`CPX_BINIT_STACK]),
1479
                  .cpx_spc_data_cx_b124to123  (cpx_spc_data_cx[`CPX_PERR_DINV+1:`CPX_PERR_DINV]),
1480
                  .cpx_spc_data_cx_b120to118  (cpx_spc_data_cx[`CPX_INV_CID_HI:`CPX_INV_CID_LO]),
1481
                  .cpx_spc_data_cx_b71to70    (cpx_spc_data_cx[71:70]),
1482
                  .cpx_spc_data_cx_b0         (cpx_spc_data_cx[0]),
1483
                  .cpx_spc_data_cx_b4         (cpx_spc_data_cx[4]),
1484
                  .cpx_spc_data_cx_b8         (cpx_spc_data_cx[8]),
1485
                  .cpx_spc_data_cx_b12        (cpx_spc_data_cx[12]),
1486
                  .cpx_spc_data_cx_b16        (cpx_spc_data_cx[16]),
1487
                  .cpx_spc_data_cx_b20        (cpx_spc_data_cx[20]),
1488
                  .cpx_spc_data_cx_b24        (cpx_spc_data_cx[24]),
1489
                  .cpx_spc_data_cx_b28        (cpx_spc_data_cx[28]),
1490
 
1491
                  .cpx_spc_data_cx_b32        (cpx_spc_data_cx[32]),
1492
                  .cpx_spc_data_cx_b35        (cpx_spc_data_cx[35]),
1493
                  .cpx_spc_data_cx_b38        (cpx_spc_data_cx[38]),
1494
                  .cpx_spc_data_cx_b41        (cpx_spc_data_cx[41]),
1495
                  .cpx_spc_data_cx_b44        (cpx_spc_data_cx[44]),
1496
                  .cpx_spc_data_cx_b47        (cpx_spc_data_cx[47]),
1497
                  .cpx_spc_data_cx_b50        (cpx_spc_data_cx[50]),
1498
                  .cpx_spc_data_cx_b53        (cpx_spc_data_cx[53]),
1499
 
1500
                  .cpx_spc_data_cx_b56        (cpx_spc_data_cx[56]),
1501
                  .cpx_spc_data_cx_b60        (cpx_spc_data_cx[60]),
1502
                  .cpx_spc_data_cx_b64        (cpx_spc_data_cx[64]),
1503
                  .cpx_spc_data_cx_b68        (cpx_spc_data_cx[68]),
1504
                  .cpx_spc_data_cx_b72        (cpx_spc_data_cx[72]),
1505
                  .cpx_spc_data_cx_b76        (cpx_spc_data_cx[76]),
1506
                  .cpx_spc_data_cx_b80        (cpx_spc_data_cx[80]),
1507
                  .cpx_spc_data_cx_b84        (cpx_spc_data_cx[84]),
1508
 
1509
                  .cpx_spc_data_cx_b88        (cpx_spc_data_cx[88]),
1510
                  .cpx_spc_data_cx_b91        (cpx_spc_data_cx[91]),
1511
                  .cpx_spc_data_cx_b94        (cpx_spc_data_cx[94]),
1512
                  .cpx_spc_data_cx_b97        (cpx_spc_data_cx[97]),
1513
                  .cpx_spc_data_cx_b100       (cpx_spc_data_cx[100]),
1514
                  .cpx_spc_data_cx_b103       (cpx_spc_data_cx[103]),
1515
                  .cpx_spc_data_cx_b106       (cpx_spc_data_cx[106]),
1516
                  .cpx_spc_data_cx_b109       (cpx_spc_data_cx[109]),
1517
 
1518
                  .cpx_spc_data_cx_b1         (cpx_spc_data_cx[1]),
1519
                  .cpx_spc_data_cx_b5         (cpx_spc_data_cx[5]),
1520
                  .cpx_spc_data_cx_b9         (cpx_spc_data_cx[9]),
1521
                  .cpx_spc_data_cx_b13        (cpx_spc_data_cx[13]),
1522
                  .cpx_spc_data_cx_b17        (cpx_spc_data_cx[17]),
1523
                  .cpx_spc_data_cx_b21        (cpx_spc_data_cx[21]),
1524
                  .cpx_spc_data_cx_b25        (cpx_spc_data_cx[25]),
1525
                  .cpx_spc_data_cx_b29        (cpx_spc_data_cx[29]),
1526
 
1527
                  .cpx_spc_data_cx_b57        (cpx_spc_data_cx[57]),
1528
                  .cpx_spc_data_cx_b61        (cpx_spc_data_cx[61]),
1529
                  .cpx_spc_data_cx_b65        (cpx_spc_data_cx[65]),
1530
                  .cpx_spc_data_cx_b69        (cpx_spc_data_cx[69]),
1531
                  .cpx_spc_data_cx_b73        (cpx_spc_data_cx[73]),
1532
                  .cpx_spc_data_cx_b77        (cpx_spc_data_cx[77]),
1533
                  .cpx_spc_data_cx_b81        (cpx_spc_data_cx[81]),
1534
                  .cpx_spc_data_cx_b85        (cpx_spc_data_cx[85]),
1535
 
1536
                  .lsu_cpx_stack_icfill_vld(lsu_cpx_stack_icfill_vld),
1537
                  /*AUTOINST*/
1538
                  // Outputs
1539
                  .lsu_fwd_rply_sz1_unc (lsu_fwd_rply_sz1_unc),
1540
                  .lsu_dcache_iob_rd_w  (lsu_dcache_iob_rd_w),
1541
                  .ldd_in_dfq_out       (ldd_in_dfq_out),
1542
                  .lsu_dfq_rd_vld_d1    (lsu_dfq_rd_vld_d1),
1543
                  .dfq_byp_ff_en        (dfq_byp_ff_en),
1544
                  .lsu_dfill_data_sel_hi(lsu_dfill_data_sel_hi),
1545
                  .lsu_ifill_pkt_vld    (lsu_ifu_cpxpkt_vld_i1), // Templated
1546
                  .cpx_fwd_pkt_en_cx    (cpx_fwd_pkt_en_cx),
1547
                  .lsu_cpxpkt_type_dcd_cx(lsu_cpxpkt_type_dcd_cx[5:0]),
1548
                  .lsu_cpu_dcd_sel      (lsu_cpu_dcd_sel[7:0]),
1549
                  .lsu_cpu_uhlf_sel     (lsu_cpu_uhlf_sel),
1550
                  .lsu_iobrdge_rply_data_sel(lsu_iobrdge_rply_data_sel[2:0]),
1551
                  .lsu_iobrdge_fwd_pkt_vld(lsu_iobrdge_fwd_pkt_vld),
1552
                  .lsu_tlu_cpx_vld      (lsu_tlu_cpx_vld),
1553
                  .lsu_tlu_cpx_req      (lsu_tlu_cpx_req[3:0]),
1554
                  .lsu_tlu_intpkt       (lsu_tlu_intpkt[17:0]),
1555
                  .ld_sec_active        (ld_sec_active),
1556
                  .dfq_byp_sel          (dfq_byp_sel[3:0]),
1557
                  .lsu_cpx_ld_dtag_perror_e(lsu_cpx_ld_dtag_perror_e),
1558
                  .lsu_cpx_ld_dcache_perror_e(lsu_cpx_ld_dcache_perror_e),
1559
                  .lsu_exu_rd_m         (lsu_exu_rd_m[4:0]),
1560
                  .lsu_spu_strm_ack_cmplt(lsu_spu_strm_ack_cmplt[1:0]),
1561
                  .lsu_atm_st_cmplt_e   (lsu_atm_st_cmplt_e),
1562
                  .dva_svld_e           (dva_svld_e),
1563
                  .dfq_wptr_vld         (dfq_wptr_vld),
1564
                  .dfq_wptr             (dfq_wptr[4:0]),
1565
                  .lsu_dfq_flsh_cmplt   (lsu_dfq_flsh_cmplt[3:0]),
1566
                  .dfq_rptr_vld         (dfq_rptr_vld),
1567
                  .dfq_rptr             (dfq_rptr[4:0]),
1568
                  .lsu_ifu_stallreq     (lsu_ifu_stallreq),
1569
                  .dva_snp_addr_e       (dva_snp_addr_e[4:0]),
1570
                  .lsu_st_ack_dq_stb    (lsu_st_ack_dq_stb[3:0]),
1571
                  .lsu_cpx_rmo_st_ack   (lsu_cpx_rmo_st_ack[3:0]),
1572
                  .lsu_st_wr_dcache     (lsu_st_wr_dcache),
1573
                  .cpx_st_ack_tid0      (cpx_st_ack_tid0),
1574
                  .cpx_st_ack_tid1      (),
1575
                  .cpx_st_ack_tid2      (),
1576
                  .cpx_st_ack_tid3      (),
1577
                  .lsu_tlu_l2_dmiss     (lsu_tlu_l2_dmiss[3:0]),
1578
                  .lsu_l2fill_vld       (lsu_l2fill_vld),
1579
                  .lsu_byp_ldd_oddrd_m  (lsu_byp_ldd_oddrd_m),
1580
                  .lsu_pcx_fwd_reply    (lsu_pcx_fwd_reply),
1581
                  .lsu_fwdpkt_vld       (lsu_fwdpkt_vld),
1582
                  .lsu_dcfill_active_e  (lsu_dcfill_active_e),
1583
                  .lsu_dfq_ld_vld       (lsu_dfq_ld_vld),
1584
                  .lsu_fldd_vld_en      (lsu_fldd_vld_en),
1585
                  .lsu_dfill_dcd_thrd   (lsu_dfill_dcd_thrd[3:0]),
1586
                  .lsu_fwdpkt_dest      (lsu_fwdpkt_dest[4:0]),
1587
                  .dva_snp_bit_wr_en_e  (dva_snp_bit_wr_en_e[15:0]),
1588
                  .lsu_cpx_spc_inv_vld  (lsu_cpx_spc_inv_vld),
1589
                  .lsu_cpx_thrdid       (lsu_cpx_thrdid[3:0]),
1590
                  .lsu_cpx_stack_dcfill_vld(lsu_cpx_stack_dcfill_vld),
1591
                  .lsu_dfq_vld_entry_w  (lsu_sscan_data[15]),    // Templated
1592
                  .lsu_dfq_st_vld       (lsu_dfq_st_vld),
1593
                  .lsu_dfq_ldst_vld     (lsu_dfq_ldst_vld),
1594
                  .lsu_qdp2_dfq_ld_vld  (lsu_qdp2_dfq_ld_vld),
1595
                  .lsu_qdp2_dfq_st_vld  (lsu_qdp2_dfq_st_vld),
1596
                  .lsu_dfq_vld          (lsu_dfq_vld),
1597
                  .lsu_dfq_byp_ff_en    (lsu_dfq_byp_ff_en),
1598
                  // Inputs
1599
                  .rclk                 (clk),                   // Templated
1600
                  .grst_l               (grst_l),
1601
                  .arst_l               (arst_l),
1602
                  .se                   (se),
1603
                  .rst_tri_en           (mux_drive_disable),     // Templated
1604
                  .ld_inst_vld_e        (ifu_lsu_ld_inst_e),     // Templated
1605
                  .ifu_pcx_pkt_b51      (ifu_lsu_pcxpkt_e[51]),  // Templated
1606
                  .ifu_pcx_pkt_b41t40   (ifu_lsu_pcxpkt_e[41:40]), // Templated
1607
                  .ifu_pcx_pkt_b10t5    (ifu_lsu_pcxpkt_e[10:5]), // Templated
1608
                  .lsu_dfq_rdata_flush_bit(dfq_rdata[136]),      // Templated
1609
                  .lsu_dfq_rdata_b17_b0 (dfq_rdata[17:0]),       // Templated
1610
                  .ifu_lsu_rd_e         (ifu_lsu_rd_e[4:0]),
1611
                  .lmq_ld_rd1           (lmq_ld_rd1[4:0]),
1612
                  .lmq_ldd_vld          (lmq_ldd_vld),
1613
                  .dfq_tid              (dfq_tid[1:0]),
1614
                  .const_cpuid          (const_cpuid[2:0]),
1615
                  .lmq_ld_addr_b3       (lmq_ld_addr_b3),
1616
                  .ifu_lsu_ibuf_busy    (ifu_lsu_ibuf_busy),
1617
                  .ifu_lsu_inv_clear    (ifu_lsu_inv_clear),
1618
                  .lsu_byp_misc_sz_e    (lsu_byp_misc_sz_e[1:0]),
1619
                  .lsu_dfq_byp_tid      (lsu_dfq_byp_tid[1:0]),
1620
                  .lsu_cpx_pkt_atm_st_cmplt(lsu_cpx_pkt_atm_st_cmplt),
1621
                  .lsu_cpx_pkt_l2miss   (lsu_cpx_pkt_l2miss),
1622
                  .lsu_cpx_pkt_tid      (lsu_cpx_pkt_tid[1:0]),
1623
                  .lsu_cpx_pkt_invwy    (lsu_cpx_pkt_invwy[1:0]),
1624
                  .lsu_dfq_byp_flush    (lsu_dfq_byp_flush),
1625
                  .lsu_dfq_byp_type     (lsu_dfq_byp_type[5:0]),
1626
                  .lsu_dfq_byp_invwy_vld(lsu_dfq_byp_invwy_vld),
1627
                  .lsu_cpu_inv_data_b13to9(lsu_cpu_inv_data_b13to9[13:9]),
1628
                  .lsu_cpu_inv_data_b7to2(lsu_cpu_inv_data_b7to2[7:2]),
1629
                  .lsu_cpu_inv_data_b0  (lsu_cpu_inv_data_b0),
1630
                  .lsu_cpx_pkt_inv_pa   (lsu_cpx_pkt_inv_pa[4:0]),
1631
                  .lsu_cpx_pkt_ifill_type(lsu_cpx_pkt_ifill_type),
1632
                  .lsu_cpx_pkt_atomic   (lsu_cpx_pkt_atomic),
1633
                  .lsu_cpx_pkt_binit_st (lsu_cpx_pkt_binit_st),
1634
                  .lsu_cpx_pkt_prefetch (lsu_cpx_pkt_prefetch),
1635
                  .lsu_dfq_byp_binit_st (lsu_dfq_byp_binit_st),
1636
                  .lsu_tlbop_force_swo  (lsu_tlbop_force_swo),
1637
                  .lsu_iobrdge_tap_rq_type(lsu_iobrdge_tap_rq_type[7:3]),
1638
                  .lsu_dcache_tag_perror_g(lsu_dcache_tag_perror_g),
1639
                  .lsu_dcache_data_perror_g(lsu_dcache_data_perror_g),
1640
                  .lsu_cpx_pkt_perror_iinv(lsu_cpx_pkt_perror_iinv),
1641
                  .lsu_cpx_pkt_perror_dinv(lsu_cpx_pkt_perror_dinv),
1642
                  .lsu_cpx_pkt_perror_set(lsu_cpx_pkt_perror_set[1:0]),
1643
                  .lsu_l2fill_fpld_e    (lsu_l2fill_fpld_e),     // Templated
1644
                  .lsu_cpx_pkt_strm_ack (lsu_cpx_pkt_strm_ack),
1645
                  .ifu_lsu_memref_d     (ifu_lsu_memref_d),
1646
                  .lsu_fwdpkt_pcx_rq_sel(lsu_fwdpkt_pcx_rq_sel),
1647
                  .lsu_imiss_pcx_rq_sel_d1(lsu_imiss_pcx_rq_sel_d1),
1648
                  .lsu_dfq_byp_cpx_inv  (lsu_dfq_byp_cpx_inv),
1649
                  .lsu_dfq_byp_stack_adr_b54(lsu_dfq_byp_stack_adr_b54[1:0]),
1650
                  .lsu_dfq_byp_stack_wrway(lsu_dfq_byp_stack_wrway[1:0]),
1651
                  .lsu_dfq_rdata_st_ack_type(dfq_rdata[`DFQ_WIDTH-4]), // Templated
1652
                  .lsu_dfq_rdata_stack_dcfill_vld(dfq_rdata[130]), // Templated
1653
                  .lsu_dfq_rdata_stack_iinv_vld(dfq_rdata[128]), // Templated
1654
                  .lsu_dfq_rdata_cpuid  (dfq_rdata[`CPX_INV_CID_HI:`CPX_INV_CID_LO]), // Templated
1655
                  .lsu_dfq_byp_atm      (lsu_dfq_byp_atm),
1656
                  .lsu_ld_inst_vld_g    (lsu_ld_inst_vld_g[3:0]),
1657
                  .lsu_dfq_rdata_type   (dfq_rdata[`DFQ_WIDTH-1:`DFQ_WIDTH-6]), // Templated
1658
                  .lsu_dfq_rdata_invwy_vld(dfq_rdata[`CPX_WYVLD]), // Templated
1659
                  .ifu_lsu_fwd_data_vld (ifu_lsu_fwd_data_vld),
1660
                  .ifu_lsu_fwd_wr_ack   (ifu_lsu_fwd_wr_ack),
1661
                  .lsu_dfq_rdata_rq_type(dfq_rdata[`CPX_WIDTH-2:`CPX_WIDTH-5]), // Templated
1662
                  .lsu_dfq_rdata_b103   (dfq_rdata[103]),        // Templated
1663
                  .sehold               (sehold));
1664
 
1665
`else // !`ifdef FPGA_SYN_1THREAD
1666
 
1667
   lsu_qctl2 qctl2  (
1668
                  .so                   (scan1_1),
1669
                  .si                   (si1),
1670
                  .lsu_cpx_stack_dcfill_vld_b130  (lsu_cpx_stack_dcfill_vld_b130),
1671
 
1672
                  .cpx_spc_data_cx_b144to140  (cpx_spc_data_cx[`CPX_WIDTH-1:140]),
1673
                  .cpx_spc_data_cx_b138       (cpx_spc_data_cx[138]),
1674
                  .cpx_spc_data_cx_b135to134  (cpx_spc_data_cx[`CPX_TH_HI:`CPX_TH_LO]),
1675
                  .cpx_spc_data_cx_b133       (cpx_spc_data_cx[`CPX_WYVLD]),
1676
                  .cpx_spc_data_cx_b130       (cpx_spc_data_cx[130]),
1677
                  .cpx_spc_data_cx_b129       (cpx_spc_data_cx[129]),
1678
                  .cpx_spc_data_cx_b128       (cpx_spc_data_cx[128]),
1679
                  .cpx_spc_data_cx_b125       (cpx_spc_data_cx[`CPX_BINIT_STACK]),
1680
                  .cpx_spc_data_cx_b124to123  (cpx_spc_data_cx[`CPX_PERR_DINV+1:`CPX_PERR_DINV]),
1681
                  .cpx_spc_data_cx_b120to118  (cpx_spc_data_cx[`CPX_INV_CID_HI:`CPX_INV_CID_LO]),
1682
                  .cpx_spc_data_cx_b71to70    (cpx_spc_data_cx[71:70]),
1683
                  .cpx_spc_data_cx_b0         (cpx_spc_data_cx[0]),
1684
                  .cpx_spc_data_cx_b4         (cpx_spc_data_cx[4]),
1685
                  .cpx_spc_data_cx_b8         (cpx_spc_data_cx[8]),
1686
                  .cpx_spc_data_cx_b12        (cpx_spc_data_cx[12]),
1687
                  .cpx_spc_data_cx_b16        (cpx_spc_data_cx[16]),
1688
                  .cpx_spc_data_cx_b20        (cpx_spc_data_cx[20]),
1689
                  .cpx_spc_data_cx_b24        (cpx_spc_data_cx[24]),
1690
                  .cpx_spc_data_cx_b28        (cpx_spc_data_cx[28]),
1691
 
1692
                  .cpx_spc_data_cx_b32        (cpx_spc_data_cx[32]),
1693
                  .cpx_spc_data_cx_b35        (cpx_spc_data_cx[35]),
1694
                  .cpx_spc_data_cx_b38        (cpx_spc_data_cx[38]),
1695
                  .cpx_spc_data_cx_b41        (cpx_spc_data_cx[41]),
1696
                  .cpx_spc_data_cx_b44        (cpx_spc_data_cx[44]),
1697
                  .cpx_spc_data_cx_b47        (cpx_spc_data_cx[47]),
1698
                  .cpx_spc_data_cx_b50        (cpx_spc_data_cx[50]),
1699
                  .cpx_spc_data_cx_b53        (cpx_spc_data_cx[53]),
1700
 
1701
                  .cpx_spc_data_cx_b56        (cpx_spc_data_cx[56]),
1702
                  .cpx_spc_data_cx_b60        (cpx_spc_data_cx[60]),
1703
                  .cpx_spc_data_cx_b64        (cpx_spc_data_cx[64]),
1704
                  .cpx_spc_data_cx_b68        (cpx_spc_data_cx[68]),
1705
                  .cpx_spc_data_cx_b72        (cpx_spc_data_cx[72]),
1706
                  .cpx_spc_data_cx_b76        (cpx_spc_data_cx[76]),
1707
                  .cpx_spc_data_cx_b80        (cpx_spc_data_cx[80]),
1708
                  .cpx_spc_data_cx_b84        (cpx_spc_data_cx[84]),
1709
 
1710
                  .cpx_spc_data_cx_b88        (cpx_spc_data_cx[88]),
1711
                  .cpx_spc_data_cx_b91        (cpx_spc_data_cx[91]),
1712
                  .cpx_spc_data_cx_b94        (cpx_spc_data_cx[94]),
1713
                  .cpx_spc_data_cx_b97        (cpx_spc_data_cx[97]),
1714
                  .cpx_spc_data_cx_b100       (cpx_spc_data_cx[100]),
1715
                  .cpx_spc_data_cx_b103       (cpx_spc_data_cx[103]),
1716
                  .cpx_spc_data_cx_b106       (cpx_spc_data_cx[106]),
1717
                  .cpx_spc_data_cx_b109       (cpx_spc_data_cx[109]),
1718
 
1719
                  .cpx_spc_data_cx_b1         (cpx_spc_data_cx[1]),
1720
                  .cpx_spc_data_cx_b5         (cpx_spc_data_cx[5]),
1721
                  .cpx_spc_data_cx_b9         (cpx_spc_data_cx[9]),
1722
                  .cpx_spc_data_cx_b13        (cpx_spc_data_cx[13]),
1723
                  .cpx_spc_data_cx_b17        (cpx_spc_data_cx[17]),
1724
                  .cpx_spc_data_cx_b21        (cpx_spc_data_cx[21]),
1725
                  .cpx_spc_data_cx_b25        (cpx_spc_data_cx[25]),
1726
                  .cpx_spc_data_cx_b29        (cpx_spc_data_cx[29]),
1727
 
1728
                  .cpx_spc_data_cx_b57        (cpx_spc_data_cx[57]),
1729
                  .cpx_spc_data_cx_b61        (cpx_spc_data_cx[61]),
1730
                  .cpx_spc_data_cx_b65        (cpx_spc_data_cx[65]),
1731
                  .cpx_spc_data_cx_b69        (cpx_spc_data_cx[69]),
1732
                  .cpx_spc_data_cx_b73        (cpx_spc_data_cx[73]),
1733
                  .cpx_spc_data_cx_b77        (cpx_spc_data_cx[77]),
1734
                  .cpx_spc_data_cx_b81        (cpx_spc_data_cx[81]),
1735
                  .cpx_spc_data_cx_b85        (cpx_spc_data_cx[85]),
1736
 
1737
                  .lsu_cpx_stack_icfill_vld(lsu_cpx_stack_icfill_vld),
1738
                  /*AUTOINST*/
1739
                  // Outputs
1740
                  .lsu_fwd_rply_sz1_unc (lsu_fwd_rply_sz1_unc),
1741
                  .lsu_dcache_iob_rd_w  (lsu_dcache_iob_rd_w),
1742
                  .ldd_in_dfq_out       (ldd_in_dfq_out),
1743
                  .lsu_dfq_rd_vld_d1    (lsu_dfq_rd_vld_d1),
1744
                  .dfq_byp_ff_en        (dfq_byp_ff_en),
1745
                  .lsu_dfill_data_sel_hi(lsu_dfill_data_sel_hi),
1746
                  .lsu_ifill_pkt_vld    (lsu_ifu_cpxpkt_vld_i1), // Templated
1747
                  .cpx_fwd_pkt_en_cx    (cpx_fwd_pkt_en_cx),
1748
                  .lsu_cpxpkt_type_dcd_cx(lsu_cpxpkt_type_dcd_cx[5:0]),
1749
                  .lsu_cpu_dcd_sel      (lsu_cpu_dcd_sel[7:0]),
1750
                  .lsu_cpu_uhlf_sel     (lsu_cpu_uhlf_sel),
1751
                  .lsu_iobrdge_rply_data_sel(lsu_iobrdge_rply_data_sel[2:0]),
1752
                  .lsu_iobrdge_fwd_pkt_vld(lsu_iobrdge_fwd_pkt_vld),
1753
                  .lsu_tlu_cpx_vld      (lsu_tlu_cpx_vld),
1754
                  .lsu_tlu_cpx_req      (lsu_tlu_cpx_req[3:0]),
1755
                  .lsu_tlu_intpkt       (lsu_tlu_intpkt[17:0]),
1756
                  .ld_sec_active        (ld_sec_active),
1757
                  .dfq_byp_sel          (dfq_byp_sel[3:0]),
1758
                  .lsu_cpx_ld_dtag_perror_e(lsu_cpx_ld_dtag_perror_e),
1759
                  .lsu_cpx_ld_dcache_perror_e(lsu_cpx_ld_dcache_perror_e),
1760
                  .lsu_exu_rd_m         (lsu_exu_rd_m[4:0]),
1761
                  .lsu_spu_strm_ack_cmplt(lsu_spu_strm_ack_cmplt[1:0]),
1762
                  .lsu_atm_st_cmplt_e   (lsu_atm_st_cmplt_e),
1763
                  .dva_svld_e           (dva_svld_e),
1764
                  .dfq_wptr_vld         (dfq_wptr_vld),
1765
                  .dfq_wptr             (dfq_wptr[4:0]),
1766
                  .lsu_dfq_flsh_cmplt   (lsu_dfq_flsh_cmplt[3:0]),
1767
                  .dfq_rptr_vld         (dfq_rptr_vld),
1768
                  .dfq_rptr             (dfq_rptr[4:0]),
1769
                  .lsu_ifu_stallreq     (lsu_ifu_stallreq),
1770
                  .dva_snp_addr_e       (dva_snp_addr_e[4:0]),
1771
                  .lsu_st_ack_dq_stb    (lsu_st_ack_dq_stb[3:0]),
1772
                  .lsu_cpx_rmo_st_ack   (lsu_cpx_rmo_st_ack[3:0]),
1773
                  .lsu_st_wr_dcache     (lsu_st_wr_dcache),
1774
                  .cpx_st_ack_tid0      (cpx_st_ack_tid0),
1775
                  .cpx_st_ack_tid1      (cpx_st_ack_tid1),
1776
                  .cpx_st_ack_tid2      (cpx_st_ack_tid2),
1777
                  .cpx_st_ack_tid3      (cpx_st_ack_tid3),
1778
                  .lsu_tlu_l2_dmiss     (lsu_tlu_l2_dmiss[3:0]),
1779
                  .lsu_l2fill_vld       (lsu_l2fill_vld),
1780
                  .lsu_byp_ldd_oddrd_m  (lsu_byp_ldd_oddrd_m),
1781
                  .lsu_pcx_fwd_reply    (lsu_pcx_fwd_reply),
1782
                  .lsu_fwdpkt_vld       (lsu_fwdpkt_vld),
1783
                  .lsu_dcfill_active_e  (lsu_dcfill_active_e),
1784
                  .lsu_dfq_ld_vld       (lsu_dfq_ld_vld),
1785
                  .lsu_fldd_vld_en      (lsu_fldd_vld_en),
1786
                  .lsu_dfill_dcd_thrd   (lsu_dfill_dcd_thrd[3:0]),
1787
                  .lsu_fwdpkt_dest      (lsu_fwdpkt_dest[4:0]),
1788
                  .dva_snp_bit_wr_en_e  (dva_snp_bit_wr_en_e[15:0]),
1789
                  .lsu_cpx_spc_inv_vld  (lsu_cpx_spc_inv_vld),
1790
                  .lsu_cpx_thrdid       (lsu_cpx_thrdid[3:0]),
1791
                  .lsu_cpx_stack_dcfill_vld(lsu_cpx_stack_dcfill_vld),
1792
                  .lsu_dfq_vld_entry_w  (lsu_sscan_data[15]),    // Templated
1793
                  .lsu_dfq_st_vld       (lsu_dfq_st_vld),
1794
                  .lsu_dfq_ldst_vld     (lsu_dfq_ldst_vld),
1795
                  .lsu_qdp2_dfq_ld_vld  (lsu_qdp2_dfq_ld_vld),
1796
                  .lsu_qdp2_dfq_st_vld  (lsu_qdp2_dfq_st_vld),
1797
                  .lsu_dfq_vld          (lsu_dfq_vld),
1798
                  .lsu_dfq_byp_ff_en    (lsu_dfq_byp_ff_en),
1799
                  // Inputs
1800
                  .rclk                 (clk),                   // Templated
1801
                  .grst_l               (grst_l),
1802
                  .arst_l               (arst_l),
1803
                  .se                   (se),
1804
                  .rst_tri_en           (mux_drive_disable),     // Templated
1805
                  .ld_inst_vld_e        (ifu_lsu_ld_inst_e),     // Templated
1806
                  .ifu_pcx_pkt_b51      (ifu_lsu_pcxpkt_e[51]),  // Templated
1807
                  .ifu_pcx_pkt_b41t40   (ifu_lsu_pcxpkt_e[41:40]), // Templated
1808
                  .ifu_pcx_pkt_b10t5    (ifu_lsu_pcxpkt_e[10:5]), // Templated
1809
                  .lsu_dfq_rdata_flush_bit(dfq_rdata[136]),      // Templated
1810
                  .lsu_dfq_rdata_b17_b0 (dfq_rdata[17:0]),       // Templated
1811
                  .ifu_lsu_rd_e         (ifu_lsu_rd_e[4:0]),
1812
                  .lmq_ld_rd1           (lmq_ld_rd1[4:0]),
1813
                  .lmq_ldd_vld          (lmq_ldd_vld),
1814
                  .dfq_tid              (dfq_tid[1:0]),
1815
                  .const_cpuid          (const_cpuid[2:0]),
1816
                  .lmq_ld_addr_b3       (lmq_ld_addr_b3),
1817
                  .ifu_lsu_ibuf_busy    (ifu_lsu_ibuf_busy),
1818
                  .ifu_lsu_inv_clear    (ifu_lsu_inv_clear),
1819
                  .lsu_byp_misc_sz_e    (lsu_byp_misc_sz_e[1:0]),
1820
                  .lsu_dfq_byp_tid      (lsu_dfq_byp_tid[1:0]),
1821
                  .lsu_cpx_pkt_atm_st_cmplt(lsu_cpx_pkt_atm_st_cmplt),
1822
                  .lsu_cpx_pkt_l2miss   (lsu_cpx_pkt_l2miss),
1823
                  .lsu_cpx_pkt_tid      (lsu_cpx_pkt_tid[1:0]),
1824
                  .lsu_cpx_pkt_invwy    (lsu_cpx_pkt_invwy[1:0]),
1825
                  .lsu_dfq_byp_flush    (lsu_dfq_byp_flush),
1826
                  .lsu_dfq_byp_type     (lsu_dfq_byp_type[5:0]),
1827
                  .lsu_dfq_byp_invwy_vld(lsu_dfq_byp_invwy_vld),
1828
                  .lsu_cpu_inv_data_b13to9(lsu_cpu_inv_data_b13to9[13:9]),
1829
                  .lsu_cpu_inv_data_b7to2(lsu_cpu_inv_data_b7to2[7:2]),
1830
                  .lsu_cpu_inv_data_b0  (lsu_cpu_inv_data_b0),
1831
                  .lsu_cpx_pkt_inv_pa   (lsu_cpx_pkt_inv_pa[4:0]),
1832
                  .lsu_cpx_pkt_ifill_type(lsu_cpx_pkt_ifill_type),
1833
                  .lsu_cpx_pkt_atomic   (lsu_cpx_pkt_atomic),
1834
                  .lsu_cpx_pkt_binit_st (lsu_cpx_pkt_binit_st),
1835
                  .lsu_cpx_pkt_prefetch (lsu_cpx_pkt_prefetch),
1836
                  .lsu_dfq_byp_binit_st (lsu_dfq_byp_binit_st),
1837
                  .lsu_tlbop_force_swo  (lsu_tlbop_force_swo),
1838
                  .lsu_iobrdge_tap_rq_type(lsu_iobrdge_tap_rq_type[7:3]),
1839
                  .lsu_dcache_tag_perror_g(lsu_dcache_tag_perror_g),
1840
                  .lsu_dcache_data_perror_g(lsu_dcache_data_perror_g),
1841
                  .lsu_cpx_pkt_perror_iinv(lsu_cpx_pkt_perror_iinv),
1842
                  .lsu_cpx_pkt_perror_dinv(lsu_cpx_pkt_perror_dinv),
1843
                  .lsu_cpx_pkt_perror_set(lsu_cpx_pkt_perror_set[1:0]),
1844
                  .lsu_l2fill_fpld_e    (lsu_l2fill_fpld_e),     // Templated
1845
                  .lsu_cpx_pkt_strm_ack (lsu_cpx_pkt_strm_ack),
1846
                  .ifu_lsu_memref_d     (ifu_lsu_memref_d),
1847
                  .lsu_fwdpkt_pcx_rq_sel(lsu_fwdpkt_pcx_rq_sel),
1848
                  .lsu_imiss_pcx_rq_sel_d1(lsu_imiss_pcx_rq_sel_d1),
1849
                  .lsu_dfq_byp_cpx_inv  (lsu_dfq_byp_cpx_inv),
1850
                  .lsu_dfq_byp_stack_adr_b54(lsu_dfq_byp_stack_adr_b54[1:0]),
1851
                  .lsu_dfq_byp_stack_wrway(lsu_dfq_byp_stack_wrway[1:0]),
1852
                  .lsu_dfq_rdata_st_ack_type(dfq_rdata[`DFQ_WIDTH-4]), // Templated
1853
                  .lsu_dfq_rdata_stack_dcfill_vld(dfq_rdata[130]), // Templated
1854
                  .lsu_dfq_rdata_stack_iinv_vld(dfq_rdata[128]), // Templated
1855
                  .lsu_dfq_rdata_cpuid  (dfq_rdata[`CPX_INV_CID_HI:`CPX_INV_CID_LO]), // Templated
1856
                  .lsu_dfq_byp_atm      (lsu_dfq_byp_atm),
1857
                  .lsu_ld_inst_vld_g    (lsu_ld_inst_vld_g[3:0]),
1858
                  .lsu_dfq_rdata_type   (dfq_rdata[`DFQ_WIDTH-1:`DFQ_WIDTH-6]), // Templated
1859
                  .lsu_dfq_rdata_invwy_vld(dfq_rdata[`CPX_WYVLD]), // Templated
1860
                  .ifu_lsu_fwd_data_vld (ifu_lsu_fwd_data_vld),
1861
                  .ifu_lsu_fwd_wr_ack   (ifu_lsu_fwd_wr_ack),
1862
                  .lsu_dfq_rdata_rq_type(dfq_rdata[`CPX_WIDTH-2:`CPX_WIDTH-5]), // Templated
1863
                  .lsu_dfq_rdata_b103   (dfq_rdata[103]),        // Templated
1864
                  .sehold               (sehold));
1865
`endif // !`ifdef FPGA_SYN_1THREAD
1866
 
1867
/*   cmp_sram_redhdr AUTO_TEMPLATE(
1868
                            .fuse_ary_wren(fuse_dcd_wren),
1869
                            .fuse_ary_rid(fuse_dcd_rid[5:0]),
1870
                            .fuse_ary_repair_value(fuse_dcd_repair_value[7:0]),
1871
                            .fuse_ary_repair_en(fuse_dcd_repair_en[1:0]),
1872
                            .spc_efc_xfuse_data(spc_efc_dfuse_data),
1873
 
1874
                            .efc_spc_xfuse_data(efc_spc_dfuse_data),
1875
                            .efc_spc_xfuse_ashift(efc_spc_dfuse_ashift),
1876
                            .efc_spc_xfuse_dshift(efc_spc_dfuse_dshift),
1877
                            .ary_fuse_repair_value(dcd_fuse_repair_value[7:0]),
1878
                            .ary_fuse_repair_en(dcd_fuse_repair_en[1:0]),
1879
                            .scanin   (si0),
1880
                            .rclk     (clk));
1881
 */
1882
 
1883
cmp_sram_redhdr dcdhdr(
1884
                       .scanout         (scan0_1),
1885
                       /*AUTOINST*/
1886
                       // Outputs
1887
                       .fuse_ary_wren   (fuse_dcd_wren),         // Templated
1888
                       .fuse_ary_rid    (fuse_dcd_rid[5:0]),     // Templated
1889
                       .fuse_ary_repair_value(fuse_dcd_repair_value[7:0]), // Templated
1890
                       .fuse_ary_repair_en(fuse_dcd_repair_en[1:0]), // Templated
1891
                       .spc_efc_xfuse_data(spc_efc_dfuse_data),  // Templated
1892
                       // Inputs
1893
                       .rclk            (clk),                   // Templated
1894
                       .se              (se),
1895
                       .scanin          (si0),                   // Templated
1896
                       .arst_l          (arst_l),
1897
                       .testmode_l      (testmode_l),
1898
                       .efc_spc_fuse_clk1(efc_spc_fuse_clk1),
1899
                       .efc_spc_fuse_clk2(efc_spc_fuse_clk2),
1900
                       .efc_spc_xfuse_data(efc_spc_dfuse_data),  // Templated
1901
                       .efc_spc_xfuse_ashift(efc_spc_dfuse_ashift), // Templated
1902
                       .efc_spc_xfuse_dshift(efc_spc_dfuse_dshift), // Templated
1903
                       .ary_fuse_repair_value(dcd_fuse_repair_value[7:0]), // Templated
1904
                       .ary_fuse_repair_en(dcd_fuse_repair_en[1:0])); // Templated
1905
/* bw_r_dcd  AUTO_TEMPLATE (
1906
                   .rst_tri_en           (mem_write_disable),
1907
                   //.sehold               (),
1908
                   .rclk                 (clk),
1909
                   .dcache_alt_addr_e    (lsu_dcache_fill_addr_e[10:3]),
1910
                   .dcache_alt_rsel_way_e(lsu_bist_rsel_way_e[3:0]),
1911
                   .dcache_rd_addr_e     (exu_lsu_early_va_e[10:3]),
1912
                   .dcache_rsel_way_wb   (cache_way_hit[3:0]),
1913
                   .dcache_wdata_e       (lsu_dcache_fill_data_e[143:0]),
1914
                   .dcache_wr_rway_e     (lsu_dcache_fill_way_e[3:0]),
1915
                   .dcache_wvld_e        (lsu_dcache_wr_vld_e));
1916
*/
1917
 
1918
bw_r_dcd dcache (
1919
                 .so                    (scan1_2),
1920
                 .si                    (scan1_1),
1921
                 /*AUTOINST*/
1922
                 // Outputs
1923
                 .dcache_rdata_wb       (dcache_rdata_wb[63:0]),
1924
                 .dcache_rparity_wb     (dcache_rparity_wb[7:0]),
1925
                 .dcache_rparity_err_wb (dcache_rparity_err_wb),
1926
                 .dcache_rdata_msb_w0_m (dcache_rdata_msb_w0_m[7:0]),
1927
                 .dcache_rdata_msb_w1_m (dcache_rdata_msb_w1_m[7:0]),
1928
                 .dcache_rdata_msb_w2_m (dcache_rdata_msb_w2_m[7:0]),
1929
                 .dcache_rdata_msb_w3_m (dcache_rdata_msb_w3_m[7:0]),
1930
                 .dcd_fuse_repair_value (dcd_fuse_repair_value[7:0]),
1931
                 .dcd_fuse_repair_en    (dcd_fuse_repair_en[1:0]),
1932
                 // Inputs
1933
                 .dcache_rd_addr_e      (exu_lsu_early_va_e[10:3]), // Templated
1934
                 .dcache_alt_addr_e     (lsu_dcache_fill_addr_e[10:3]), // Templated
1935
                 .dcache_rvld_e         (dcache_rvld_e),
1936
                 .dcache_wvld_e         (lsu_dcache_wr_vld_e),   // Templated
1937
                 .dcache_wdata_e        (lsu_dcache_fill_data_e[143:0]), // Templated
1938
                 .dcache_wr_rway_e      (lsu_dcache_fill_way_e[3:0]), // Templated
1939
                 .dcache_byte_wr_en_e   (dcache_byte_wr_en_e[15:0]),
1940
                 .dcache_alt_rsel_way_e (lsu_bist_rsel_way_e[3:0]), // Templated
1941
                 .dcache_rsel_way_wb    (cache_way_hit[3:0]),    // Templated
1942
                 .dcache_alt_mx_sel_e   (dcache_alt_mx_sel_e),
1943
                 .se                    (se),
1944
                 .sehold                (sehold),
1945
                 .rst_tri_en            (mem_write_disable),     // Templated
1946
                 .arst_l                (arst_l),
1947
                 .rclk                  (clk),                   // Templated
1948
                 .dcache_alt_data_w0_m  (dcache_alt_data_w0_m[63:0]),
1949
                 .dcache_arry_data_sel_m(dcache_arry_data_sel_m),
1950
                 .efc_spc_fuse_clk1     (efc_spc_fuse_clk1),
1951
                 .fuse_dcd_wren         (fuse_dcd_wren),
1952
                 .fuse_dcd_rid          (fuse_dcd_rid[2:0]),
1953
                 .fuse_dcd_repair_value (fuse_dcd_repair_value[7:0]),
1954
                 .fuse_dcd_repair_en    (fuse_dcd_repair_en[1:0]));
1955
/* bw_r_rf16x32  AUTO_TEMPLATE (
1956
             .rst_tri_en           (mem_write_disable),
1957
             .rclk             (clk),
1958
             .bit_wen          (dva_bit_wr_en_e[15:0]),
1959
             .din                (dva_din_e),
1960
             .dout               (dva_vld_m[3:0]),
1961
             .rd_adr1            (exu_lsu_early_va_e[10:4]),
1962
             .rd_adr1_sel        (1'b1),
1963
             .rd_adr2            (7'b0),
1964
             .rd_en              (ifu_lsu_ld_inst_e),
1965
             .reset_l            (arst_l),
1966
             //.sehold             (),
1967
             .wr_adr             (dva_wr_adr_e[10:6]),
1968
             .wr_en              (lsu_dtagv_wr_vld_e));
1969
*/
1970
 
1971
bw_r_rf16x32 dva (
1972
                  .so                   (short_scan0_1),
1973
                  .si                   (short_si0),
1974
                   /*AUTOINST*/
1975
                  // Outputs
1976
                  .dout                 (dva_vld_m[3:0]),        // Templated
1977
                  // Inputs
1978
                  .rclk                 (clk),                   // Templated
1979
                  .se                   (se),
1980
                  .reset_l              (arst_l),                // Templated
1981
                  .sehold               (sehold),
1982
                  .rst_tri_en           (mem_write_disable),     // Templated
1983
                  .rd_adr1              (exu_lsu_early_va_e[10:4]), // Templated
1984
                  .rd_adr2              (7'b0),                  // Templated
1985
                  .rd_adr1_sel          (1'b1),                  // Templated
1986
                  .rd_en                (ifu_lsu_ld_inst_e),     // Templated
1987
                  .wr_adr               (dva_wr_adr_e[10:6]),    // Templated
1988
                  .wr_en                (lsu_dtagv_wr_vld_e),    // Templated
1989
                  .bit_wen              (dva_bit_wr_en_e[15:0]), // Templated
1990
                  .din                  (dva_din_e));             // Templated
1991
/* bw_r_idct  AUTO_TEMPLATE (
1992
               .rst_tri_en           (mem_write_disable),
1993
               //.sehold                  (),
1994
               .rclk                    (clk),
1995
               .adj                     (lsu_dctag_mrgn[3:0]),
1996
               .index0_x                (exu_lsu_early_va_e[10:4]),
1997
               .index1_x                (lsu_dcache_fill_addr_e[10:4]),
1998
               .index_sel_x             (lsu_dtag_index_sel_x_e),
1999
               .rdreq_x                 (lsu_ldst_inst_vld_e),
2000
               .rdtag_w0_y              (dtag_rdata_w0_m[32:0]),
2001
               .rdtag_w1_y              (dtag_rdata_w1_m[32:0]),
2002
               .rdtag_w2_y              (dtag_rdata_w2_m[32:0]),
2003
               .rdtag_w3_y              (dtag_rdata_w3_m[32:0]),
2004
               .wrreq_x                 (lsu_dtag_wrreq_x_e),
2005
               //.wrtag_w0_y                 ({3'b000,dtag_wdata_m[29:0]}),
2006
               //.wrtag_w1_y                 ({3'b000,dtag_wdata_m[29:0]}),
2007
               //.wrtag_w2_y                 ({3'b000,dtag_wdata_m[29:0]}),
2008
               //.wrtag_w3_y                 ({3'b000,dtag_wdata_m[29:0]}),
2009
               .dec_wrway_x                (lsu_dcache_fill_way_e[3:0]),
2010
               .reset_l                 (arst_l));
2011
*/
2012
 
2013
bw_r_idct dtag (
2014
                .so                     (short_scan0_2),
2015
                .si                     (short_scan0_1),
2016
                .wrtag_w0_y             ({3'b000,dtag_wdata_m[29:0]}),
2017
                .wrtag_w1_y             ({3'b000,dtag_wdata_m[29:0]}),
2018
                .wrtag_w2_y             ({3'b000,dtag_wdata_m[29:0]}),
2019
                .wrtag_w3_y             ({3'b000,dtag_wdata_m[29:0]}),
2020
                /*AUTOINST*/
2021
                // Outputs
2022
                .rdtag_w0_y             (dtag_rdata_w0_m[32:0]), // Templated
2023
                .rdtag_w1_y             (dtag_rdata_w1_m[32:0]), // Templated
2024
                .rdtag_w2_y             (dtag_rdata_w2_m[32:0]), // Templated
2025
                .rdtag_w3_y             (dtag_rdata_w3_m[32:0]), // Templated
2026
                // Inputs
2027
                .rclk                   (clk),                   // Templated
2028
                .se                     (se),
2029
                .reset_l                (arst_l),                // Templated
2030
                .sehold                 (sehold),
2031
                .rst_tri_en             (mem_write_disable),     // Templated
2032
                .index0_x               (exu_lsu_early_va_e[10:4]), // Templated
2033
                .index1_x               (lsu_dcache_fill_addr_e[10:4]), // Templated
2034
                .index_sel_x            (lsu_dtag_index_sel_x_e), // Templated
2035
                .dec_wrway_x            (lsu_dcache_fill_way_e[3:0]), // Templated
2036
                .rdreq_x                (lsu_ldst_inst_vld_e),   // Templated
2037
                .wrreq_x                (lsu_dtag_wrreq_x_e),    // Templated
2038
                .adj                    (lsu_dctag_mrgn[3:0]));   // Templated
2039
/*lsu_tlbdp  AUTO_TEMPLATE (
2040
               .rclk  (clk));
2041
*/
2042
 
2043
lsu_tlbdp tlbdp (
2044
                 .so                    (scan1_3),
2045
                 .si                    (scan1_2),
2046
                 .tlb_rd_tte_data_parity  (tlb_rd_tte_data[42]),
2047
                 .tlb_rd_tte_tag_parity   (tlb_rd_tte_tag[54]),
2048
                 /*AUTOINST*/
2049
                 // Outputs
2050
                 .lsu_tlb_rd_data       (lsu_tlb_rd_data[63:0]),
2051
                 .tlb_pgnum_buf         (tlb_pgnum_buf[39:10]),
2052
                 .tlb_pgnum_buf2        (tlb_pgnum_buf2[39:37]),
2053
                 .tlb_rd_tte_data_ie_buf(tlb_rd_tte_data_ie_buf),
2054
                 .stb_cam_vld           (stb_cam_vld),
2055
                 .tte_data_parity_error (tte_data_parity_error),
2056
                 .tte_tag_parity_error  (tte_tag_parity_error),
2057
                 .cache_way_hit_buf1    (cache_way_hit_buf1[3:0]),
2058
                 .cache_way_hit_buf2    (cache_way_hit_buf2[3:0]),
2059
                 .lsu_tlu_tte_pg_sz_g   (lsu_tlu_tte_pg_sz_g[2:0]),
2060
                 // Inputs
2061
                 .rclk                  (clk),                   // Templated
2062
                 .se                    (se),
2063
                 .tlb_rd_tte_tag        (tlb_rd_tte_tag[58:0]),
2064
                 .tlb_rd_tte_data       (tlb_rd_tte_data[42:0]),
2065
                 .lsu_tlb_data_rd_vld_g (lsu_tlb_data_rd_vld_g),
2066
                 .tlb_pgnum             (tlb_pgnum[39:10]),
2067
                 .asi_internal_m        (asi_internal_m),
2068
                 .lsu_alt_space_m       (lsu_alt_space_m),
2069
                 .tlb_cam_hit           (tlb_cam_hit),
2070
                 .ifu_lsu_ld_inst_e     (ifu_lsu_ld_inst_e),
2071
                 .lsu_dtlb_bypass_e     (lsu_dtlb_bypass_e),
2072
                 .cache_way_hit         (cache_way_hit[3:0]));
2073
 
2074
/*
2075
lsu_tagdp AUTO_TEMPLATE (
2076
                  .dva_vld_m              (dva_vld_m_bf[3:0]),
2077
                  .rclk                   (clk));
2078
*/
2079
 
2080
lsu_tagdp tagdp (
2081
                 .so                    (scan1_4),
2082
                 .si                    (scan1_3),
2083
                             .lsu_local_ldxa_data_g ({15'b0,lsu_local_ldxa_data_g[32:0]}),
2084
                 /*AUTOINST*/
2085
                 // Outputs
2086
                 .lsu_misc_rdata_w2     (lsu_misc_rdata_w2[63:0]),
2087
                 .lsu_rd_dtag_parity_g  (lsu_rd_dtag_parity_g[3:0]),
2088
                 // Inputs
2089
                 .rclk                  (clk),                   // Templated
2090
                 .se                    (se),
2091
                 .lsu_va_wtchpt_addr    (lsu_va_wtchpt_addr[47:3]),
2092
                 .lsu_va_wtchpt_sel_g   (lsu_va_wtchpt_sel_g),
2093
                 .dva_vld_m             (dva_vld_m_bf[3:0]),     // Templated
2094
                 .dtag_rdata_w0_m       (dtag_rdata_w0_m[29:0]),
2095
                 .dtag_rdata_w1_m       (dtag_rdata_w1_m[29:0]),
2096
                 .dtag_rdata_w2_m       (dtag_rdata_w2_m[29:0]),
2097
                 .dtag_rdata_w3_m       (dtag_rdata_w3_m[29:0]),
2098
                 .lsu_dtag_rsel_m       (lsu_dtag_rsel_m[3:0]),
2099
                 .lsu_local_ldxa_sel_g  (lsu_local_ldxa_sel_g),
2100
                 .lsu_tlb_rd_data       (lsu_tlb_rd_data[63:0]),
2101
                 .lsu_local_ldxa_tlbrd_sel_g(lsu_local_ldxa_tlbrd_sel_g),
2102
                 .lsu_local_diagnstc_tagrd_sel_g(lsu_local_diagnstc_tagrd_sel_g));
2103
 
2104
/*
2105
lsu_excpctl AUTO_TEMPLATE (
2106
                .ifu_tlu_inst_vld_m     (ifu_tlu_inst_vld_m_bf2),
2107
                .tlb_rd_tte_data_ebit   (tlb_rd_tte_data[`STLB_DATA_E]),
2108
                .tlb_rd_tte_data_pbit   (tlb_rd_tte_data[`STLB_DATA_P]),
2109
                .tlb_rd_tte_data_nfobit (tlb_rd_tte_data[`STLB_DATA_NFO]),
2110
                .tlb_rd_tte_data_wbit   (tlb_rd_tte_data[`STLB_DATA_W]),
2111
                .lsu_ldst_va_b39_m      (lsu_ldst_va_m_buf[39]),
2112
                .tlb_pgnum_b39          (tlb_pgnum[39]),
2113
                .lsu_sun4r_va_m_l             (lsu_ldst_va_m[10]),
2114
                      .lsu_sun4r_pgsz_b2t0_e  ({exu_lsu_rs3_data_e[48],exu_lsu_rs3_data_e[62:61]}),
2115
                      .lsu_sun4v_pgsz_b2t0_e  (exu_lsu_rs3_data_e[2:0]),
2116
                .ld_inst_vld_e          (ifu_lsu_ld_inst_e),
2117
                .st_inst_vld_e          (ifu_lsu_st_inst_e),
2118
                .rclk                   (clk));
2119
*/
2120
 
2121
lsu_excpctl excpctl (
2122
                     .so                (short_scan0_3),
2123
                     .si                (short_scan0_2),
2124
 
2125
                 /*AUTOINST*/
2126
                     // Outputs
2127
                     .lsu_exu_st_dtlb_perr_g(lsu_exu_st_dtlb_perr_g),
2128
                     .lsu_ffu_st_dtlb_perr_g(lsu_ffu_st_dtlb_perr_g),
2129
                     .lsu_defr_trp_taken_g(lsu_defr_trp_taken_g),
2130
                     .lsu_tlu_defr_trp_taken_g(lsu_tlu_defr_trp_taken_g),
2131
                     .lsu_mmu_defr_trp_taken_g(lsu_mmu_defr_trp_taken_g),
2132
                     .lsu_st_dtlb_perr_g(lsu_st_dtlb_perr_g[3:0]),
2133
                     .lsu_dmmu_sfsr_trp_wr(lsu_dmmu_sfsr_trp_wr[3:0]),
2134
                     .lsu_dsfsr_din_g   (lsu_dsfsr_din_g[23:0]),
2135
                     .lsu_tlb_perr_ld_rq_kill_w(lsu_tlb_perr_ld_rq_kill_w),
2136
                     .lsu_spu_early_flush_g(lsu_spu_early_flush_g),
2137
                     .lsu_local_early_flush_g(lsu_local_early_flush_g),
2138
                     .lsu_tlu_early_flush_w(lsu_tlu_early_flush_w),
2139
                     .lsu_tlu_early_flush2_w(lsu_tlu_early_flush2_w),
2140
                     .lsu_ttype_vld_m2  (lsu_ttype_vld_m2),
2141
                     .lsu_ttype_vld_m2_bf1(lsu_ttype_vld_m2_bf1),
2142
                     .lsu_ifu_flush_pipe_w(lsu_ifu_flush_pipe_w),
2143
                     .lsu_exu_flush_pipe_w(lsu_exu_flush_pipe_w),
2144
                     .lsu_mmu_flush_pipe_w(lsu_mmu_flush_pipe_w),
2145
                     .lsu_ffu_flush_pipe_w(lsu_ffu_flush_pipe_w),
2146
                     .lsu_tlu_wtchpt_trp_g(lsu_tlu_wtchpt_trp_g),
2147
                     .lsu_tlu_dmmu_miss_g(lsu_tlu_dmmu_miss_g),
2148
                     .lsu_tlu_misalign_addr_ldst_atm_m(lsu_tlu_misalign_addr_ldst_atm_m),
2149
                     .lsu_tlu_daccess_excptn_g(lsu_tlu_daccess_excptn_g),
2150
                     .lsu_tlu_daccess_prot_g(lsu_tlu_daccess_prot_g),
2151
                     .lsu_tlu_priv_action_g(lsu_tlu_priv_action_g),
2152
                     .lsu_ifu_tlb_data_su(lsu_ifu_tlb_data_su),
2153
                     .lsu_ifu_tlb_data_ue(lsu_ifu_tlb_data_ue),
2154
                     .lsu_ifu_tlb_tag_ue(lsu_ifu_tlb_tag_ue),
2155
                     .lsu_tlu_ttype_m2  (lsu_tlu_ttype_m2[8:0]),
2156
                     .lsu_tlu_ttype_vld_m2(lsu_tlu_ttype_vld_m2),
2157
                     .stb_cam_sqsh_msk  (stb_cam_sqsh_msk[7:0]),
2158
                     .stb_cam_hit_bf    (stb_cam_hit_bf),
2159
                     .stb_cam_hit_bf1   (stb_cam_hit_bf1),
2160
                     .tte_data_perror_unc(tte_data_perror_unc),
2161
                     .asi_tte_data_perror(asi_tte_data_perror),
2162
                     .asi_tte_tag_perror(asi_tte_tag_perror),
2163
                     // Inputs
2164
                     .rclk              (clk),                   // Templated
2165
                     .se                (se),
2166
                     .grst_l            (grst_l),
2167
                     .arst_l            (arst_l),
2168
                     .tlb_rd_tte_data_ebit(tlb_rd_tte_data[`STLB_DATA_E]), // Templated
2169
                     .tlb_rd_tte_data_pbit(tlb_rd_tte_data[`STLB_DATA_P]), // Templated
2170
                     .tlb_rd_tte_data_nfobit(tlb_rd_tte_data[`STLB_DATA_NFO]), // Templated
2171
                     .tlb_rd_tte_data_wbit(tlb_rd_tte_data[`STLB_DATA_W]), // Templated
2172
                     .tlb_cam_hit       (tlb_cam_hit),
2173
                     .tlb_pgnum_b39     (tlb_pgnum[39]),         // Templated
2174
                     .lsu_ldst_va_b39_m (lsu_ldst_va_m_buf[39]), // Templated
2175
                     .lsu_sun4r_va_m_l  (lsu_ldst_va_m[10]),     // Templated
2176
                     .lsu_sun4r_pgsz_b2t0_e({exu_lsu_rs3_data_e[48],exu_lsu_rs3_data_e[62:61]}), // Templated
2177
                     .lsu_sun4v_pgsz_b2t0_e(exu_lsu_rs3_data_e[2:0]), // Templated
2178
                     .tlu_early_flush_pipe_w(tlu_early_flush_pipe_w),
2179
                     .ifu_lsu_flush_w   (ifu_lsu_flush_w),
2180
                     .ifu_lsu_nceen     (ifu_lsu_nceen[3:0]),
2181
                     .lsu_tlb_asi_data_perr_g(lsu_tlb_asi_data_perr_g),
2182
                     .lsu_tlb_asi_tag_perr_g(lsu_tlb_asi_tag_perr_g),
2183
                     .stb_state_vld0    (stb_state_vld0[7:0]),
2184
                     .stb_state_vld1    (stb_state_vld1[7:0]),
2185
                     .stb_state_vld2    (stb_state_vld2[7:0]),
2186
                     .stb_state_vld3    (stb_state_vld3[7:0]),
2187
                     .ifu_tlu_thrid_e   (ifu_tlu_thrid_e[1:0]),
2188
                     .tlu_lsu_priv_trap_m(tlu_lsu_priv_trap_m),
2189
                     .tlu_lsu_pstate_priv(tlu_lsu_pstate_priv[3:0]),
2190
                     .st_inst_vld_e     (ifu_lsu_st_inst_e),     // Templated
2191
                     .ld_inst_vld_e     (ifu_lsu_ld_inst_e),     // Templated
2192
                     .ifu_lsu_alt_space_e(ifu_lsu_alt_space_e),
2193
                     .lsu_ldst_va_m     (lsu_ldst_va_m[7:0]),
2194
                     .hpv_priv_m        (hpv_priv_m),
2195
                     .hpstate_en_m      (hpstate_en_m),
2196
                     .stb_cam_hit       (stb_cam_hit),
2197
                     .dtlb_bypass_m     (dtlb_bypass_m),
2198
                     .lsu_alt_space_m   (lsu_alt_space_m),
2199
                     .atomic_m          (atomic_m),
2200
                     .ldst_dbl_m        (ldst_dbl_m),
2201
                     .fp_ldst_m         (fp_ldst_m),
2202
                     .lda_internal_m    (lda_internal_m),
2203
                     .sta_internal_m    (sta_internal_m),
2204
                     .cam_real_m        (cam_real_m),
2205
                     .data_rd_vld_g     (data_rd_vld_g),
2206
                     .tag_rd_vld_g      (tag_rd_vld_g),
2207
                     .ldst_sz_m         (ldst_sz_m[1:0]),
2208
                     .asi_internal_m    (asi_internal_m),
2209
                     .rd_only_ltlb_asi_e(rd_only_ltlb_asi_e),
2210
                     .wr_only_ltlb_asi_e(wr_only_ltlb_asi_e),
2211
                     .dfill_tlb_asi_e   (dfill_tlb_asi_e),
2212
                     .ifill_tlb_asi_e   (ifill_tlb_asi_e),
2213
                     .nofault_asi_m     (nofault_asi_m),
2214
                     .as_if_user_asi_m  (as_if_user_asi_m),
2215
                     .atomic_asi_m      (atomic_asi_m),
2216
                     .phy_use_ec_asi_m  (phy_use_ec_asi_m),
2217
                     .phy_byp_ec_asi_m  (phy_byp_ec_asi_m),
2218
                     .quad_asi_m        (quad_asi_m),
2219
                     .binit_quad_asi_m  (binit_quad_asi_m),
2220
                     .blk_asi_m         (blk_asi_m),
2221
                     .recognized_asi_m  (recognized_asi_m),
2222
                     .strm_asi_m        (strm_asi_m),
2223
                     .mmu_rd_only_asi_m (mmu_rd_only_asi_m),
2224
                     .rd_only_asi_m     (rd_only_asi_m),
2225
                     .wr_only_asi_m     (wr_only_asi_m),
2226
                     .unimp_asi_m       (unimp_asi_m),
2227
                     .lsu_nonalt_nucl_access_m(lsu_nonalt_nucl_access_m),
2228
                     .va_wtchpt_cmp_en_m(va_wtchpt_cmp_en_m),
2229
                     .lsu_va_match_b47_b32_m(lsu_va_match_b47_b32_m),
2230
                     .lsu_va_match_b31_b3_m(lsu_va_match_b31_b3_m),
2231
                     .va_wtchpt_msk_match_m(va_wtchpt_msk_match_m),
2232
                     .ifu_tlu_inst_vld_m(ifu_tlu_inst_vld_m_bf2), // Templated
2233
                     .exu_tlu_misalign_addr_jmpl_rtn_m(exu_tlu_misalign_addr_jmpl_rtn_m),
2234
                     .exu_tlu_va_oor_m  (exu_tlu_va_oor_m),
2235
                     .tlu_dsfsr_flt_vld (tlu_dsfsr_flt_vld[3:0]),
2236
                     .tlu_lsu_pstate_cle(tlu_lsu_pstate_cle[3:0]),
2237
                     .tlu_lsu_pstate_am (tlu_lsu_pstate_am[3:0]),
2238
                     .lsu_excpctl_asi_state_m(lsu_excpctl_asi_state_m[7:0]),
2239
                     .lsu_tlu_nonalt_ldst_m(lsu_tlu_nonalt_ldst_m),
2240
                     .lsu_squash_va_oor_m(lsu_squash_va_oor_m),
2241
                     .lsu_tlu_xslating_ldst_m(lsu_tlu_xslating_ldst_m),
2242
                     .lsu_tlu_ctxt_sel_m(lsu_tlu_ctxt_sel_m[2:0]),
2243
                     .lsu_tlu_write_op_m(lsu_tlu_write_op_m),
2244
                     .lsu_memref_m      (lsu_memref_m),
2245
                     .lsu_flsh_inst_m   (lsu_flsh_inst_m),
2246
                     .tte_data_parity_error(tte_data_parity_error),
2247
                     .tte_tag_parity_error(tte_tag_parity_error));
2248
 
2249
/*lsu_dctldp AUTO_TEMPLATE (
2250
               .thread0_m               (lsu_dctldp_thread0_m),
2251
               .thread1_m               (lsu_dctldp_thread1_m),
2252
               .thread2_m               (lsu_dctldp_thread2_m),
2253
               .thread3_m               (lsu_dctldp_thread3_m),
2254
               .rst_tri_en              (mux_drive_disable),
2255
               .rclk                    (clk),
2256
               .rst_l                   (dctl_rst_l),
2257
               .tlu_dtlb_tte_tag_b58t56 (tlu_dtlb_tte_tag_w2[58:56]),
2258
               .lsu_dcfill_addr_e       (lsu_dcache_fill_addr_e_err[10:4]));
2259
*/
2260
 
2261
`ifdef FPGA_SYN_1THREAD
2262
 
2263
lsu_dctldp dctldp (
2264
                   .so                  (short_scan1_2),
2265
                   .si                  (short_scan1_1),
2266
                   .lsu_iobrdge_rd_data (lsu_iobrdge_rd_data[43:0]),
2267
                   .lsu_local_ldxa_data_g(lsu_local_ldxa_data_g[47:0]),
2268
                   /*AUTOINST*/
2269
                   // Outputs
2270
                   .asi_d               (asi_d[7:0]),
2271
                   .lsu_excpctl_asi_state_m(lsu_excpctl_asi_state_m[7:0]),
2272
                   .lsu_dctl_asi_state_m(lsu_dctl_asi_state_m[7:0]),
2273
                   .lsu_spu_asi_state_e (lsu_spu_asi_state_e[7:0]),
2274
                   .lsu_tlu_rsr_data_e  (lsu_tlu_rsr_data_e[7:0]),
2275
                   .lsu_asi_state       (lsu_asi_state[7:0]),
2276
                   .lsu_asi_reg0        (lsu_asi_reg0_t[7:0]),
2277
                   .lsu_asi_reg1        (),
2278
                   .lsu_asi_reg2        (),
2279
                   .lsu_asi_reg3        (),
2280
                   .lsu_t0_pctxt_state  (lsu_t0_pctxt_state_t[12:0]),
2281
                   .lsu_t1_pctxt_state  (),
2282
                   .lsu_t2_pctxt_state  (),
2283
                   .lsu_t3_pctxt_state  (),
2284
                   .lsu_tlu_dside_ctxt_m(lsu_tlu_dside_ctxt_m[12:0]),
2285
                   .lsu_tlu_pctxt_m     (lsu_tlu_pctxt_m[12:0]),
2286
                   .tlb_ctxt            (tlb_ctxt[12:0]),
2287
                   .lsu_pid_state0      (lsu_pid_state0_t[2:0]),
2288
                   .lsu_pid_state1      (),
2289
                   .lsu_pid_state2      (),
2290
                   .lsu_pid_state3      (),
2291
                   .lsu_dtlb_cam_pid_e  (lsu_dtlb_cam_pid_e[2:0]),
2292
                   .bist_ctl_reg_in     (bist_ctl_reg_in[6:0]),
2293
                   .lsu_ifu_direct_map_l1(lsu_ifu_direct_map_l1),
2294
                   .dc_direct_map       (dc_direct_map),
2295
                   .lsu_ictag_mrgn      (lsu_ictag_mrgn[3:0]),
2296
                   .lsu_dctag_mrgn      (lsu_dctag_mrgn[3:0]),
2297
                   .lsu_mamem_mrgn      (lsu_mamem_mrgn[3:0]),
2298
                   .lsu_dtlb_mrgn       (lsu_dtlb_mrgn[7:0]),
2299
                   .lsu_itlb_mrgn       (lsu_itlb_mrgn[7:0]),
2300
                   .lsu_ldst_va_m       (lsu_ldst_va_m[12:0]),
2301
                   .lsu_ldst_va_m_buf   (lsu_ldst_va_m_buf[47:0]),
2302
                   .lsu_tlu_ldst_va_m   (lsu_tlu_ldst_va_m[9:0]),
2303
                   .lsu_tlu_tlb_asi_state_m(lsu_tlu_tlb_asi_state_m[7:0]),
2304
                   .lsu_ifu_asi_state   (lsu_ifu_asi_state[7:0]),
2305
                   .lsu_tlu_tlb_ldst_va_m(lsu_tlu_tlb_ldst_va_m[10:0]),
2306
                   .lsu_tlu_tlb_dmp_va_m(lsu_tlu_tlb_dmp_va_m[47:13]),
2307
                   .lsu_ifu_asi_addr    (lsu_ifu_asi_addr[17:0]),
2308
                   .lsu_diagnstc_wr_addr_e(lsu_diagnstc_wr_addr_e[10:0]),
2309
                   .lsu_diagnstc_dc_prty_invrt_e(lsu_diagnstc_dc_prty_invrt_e[7:0]),
2310
                   .lsu_ifu_err_addr    (lsu_ifu_err_addr[47:4]),
2311
                   .va_wtchpt_msk_match_m(va_wtchpt_msk_match_m),
2312
                   .lsu_ldst_va_g       (lsu_ldst_va_g[7:0]),
2313
                   .lsu_dp_ctl_reg0     (lsu_dp_ctl_reg0[5:0]),
2314
                   .lsu_dp_ctl_reg1     (),
2315
                   .lsu_dp_ctl_reg2     (),
2316
                   .lsu_dp_ctl_reg3     (),
2317
                   .lsu_diagnstc_wr_way_e(lsu_diagnstc_wr_way_e[1:0]),
2318
                   .lsu_diag_va_prty_invrt(lsu_diag_va_prty_invrt),
2319
                   // Inputs
2320
                   .rclk                (clk),                   // Templated
2321
                   .rst_l               (dctl_rst_l),            // Templated
2322
                   .se                  (se),
2323
                   .async_tlb_index     (async_tlb_index[5:0]),
2324
                   .lsu_dtlb_dmp_vld_e  (lsu_dtlb_dmp_vld_e),
2325
                   .tlu_lsu_asi_m       (tlu_lsu_asi_m[7:0]),
2326
                   .exu_tlu_wsr_data_m  (exu_tlu_wsr_data_m[7:0]),
2327
                   .tlu_lsu_asi_update_g(tlu_lsu_asi_update_g),
2328
                   .asi_state_wr_thrd   (asi_state_wr_thrd[3:0]),
2329
                   .ifu_lsu_imm_asi_d   (ifu_lsu_imm_asi_d[7:0]),
2330
                   .thread0_d           (thread0_d),
2331
                   .thread1_d           (1'b0),
2332
                   .thread2_d           (1'b0),
2333
                   .thread3_d           (1'b0),
2334
                   .ifu_lsu_imm_asi_vld_d(ifu_lsu_imm_asi_vld_d),
2335
                   .lsu_err_addr_sel    (lsu_err_addr_sel[2:0]),
2336
                   .pctxt_state_wr_thrd (pctxt_state_wr_thrd[3:0]),
2337
                   .sctxt_state_wr_thrd (sctxt_state_wr_thrd[3:0]),
2338
                   .st_rs3_data_g       (st_rs3_data_g[32:0]),
2339
                   .thread0_ctxt        (thread0_ctxt),
2340
                   .thread1_ctxt        (1'b0),
2341
                   .thread2_ctxt        (1'b0),
2342
                   .thread3_ctxt        (1'b0),
2343
                   .thread_pctxt        (thread_pctxt),
2344
                   .thread_sctxt        (thread_sctxt),
2345
                   .thread_actxt        (thread_actxt),
2346
                   .thread_default      (thread_default),
2347
                   .tlu_dtlb_tte_tag_w2 (tlu_dtlb_tte_tag_w2[12:0]),
2348
                   .tlu_dtlb_tte_tag_b58t56(tlu_dtlb_tte_tag_w2[58:56]), // Templated
2349
                   .thread0_g           (thread0_g),
2350
                   .thread1_g           (1'b0),
2351
                   .thread2_g           (1'b0),
2352
                   .thread3_g           (1'b0),
2353
                   .pid_state_wr_en     (pid_state_wr_en[3:0]),
2354
                   .thread0_e           (thread0_e),
2355
                   .thread1_e           (1'b0),
2356
                   .thread2_e           (1'b0),
2357
                   .thread3_e           (1'b0),
2358
                   .thread0_m           (lsu_dctldp_thread0_m),  // Templated
2359
                   .thread1_m           (1'b0),  // Templated
2360
                   .thread2_m           (1'b0),  // Templated
2361
                   .thread3_m           (1'b0),  // Templated
2362
                   .lsu_iobrdge_wr_data (lsu_iobrdge_wr_data[27:0]),
2363
                   .dfture_tap_wr_mx_sel(dfture_tap_wr_mx_sel),
2364
                   .lctl_rst            (lctl_rst[3:0]),
2365
                   .lsu_ctl_state_wr_en (lsu_ctl_state_wr_en[3:0]),
2366
                   .lsuctl_ctlbits_wr_en(lsuctl_ctlbits_wr_en[3:0]),
2367
                   .dfture_tap_rd_en    (dfture_tap_rd_en[3:0]),
2368
                   .bist_tap_wr_en      (bist_tap_wr_en),
2369
                   .bist_ctl_reg_out    (bist_ctl_reg_out[10:0]),
2370
                   .mrgn_tap_wr_en      (mrgn_tap_wr_en),
2371
                   .ldiagctl_wr_en      (ldiagctl_wr_en),
2372
                   .misc_ctl_sel_din    (misc_ctl_sel_din[3:0]),
2373
                   .lsu_asi_sel_fmx1    (lsu_asi_sel_fmx1[2:0]),
2374
                   .lsu_asi_sel_fmx2    (lsu_asi_sel_fmx2[2:0]),
2375
                   .exu_lsu_ldst_va_e   (exu_lsu_ldst_va_e[47:0]),
2376
                   .tlb_access_en0_g    (tlb_access_en0_g),
2377
                   .tlb_access_en1_g    (1'b0),
2378
                   .tlb_access_en2_g    (1'b0),
2379
                   .tlb_access_en3_g    (1'b0),
2380
                   .tlb_access_sel_thrd0(tlb_access_sel_thrd0),
2381
                   .tlb_access_sel_thrd1(tlb_access_sel_thrd1),
2382
                   .tlb_access_sel_thrd2(tlb_access_sel_thrd2),
2383
                   .tlb_access_sel_default(tlb_access_sel_default),
2384
                   .mrgnctl_wr_en       (mrgnctl_wr_en),
2385
                   .lsu_dcfill_addr_e   (lsu_dcache_fill_addr_e_err[10:4]), // Templated
2386
                   .lsu_error_pa_m      (lsu_error_pa_m[28:0]),
2387
                   .stb_ldst_byte_msk   (stb_ldst_byte_msk[7:0]),
2388
                   .lsu_diagnstc_va_sel (lsu_diagnstc_va_sel[3:0]),
2389
                   .rst_tri_en          (mux_drive_disable));     // Templated
2390
 
2391
`else // !`ifdef FPGA_SYN_1THREAD
2392
 
2393
 
2394
   lsu_dctldp dctldp (
2395
                   .so                  (short_scan1_2),
2396
                   .si                  (short_scan1_1),
2397
                   .lsu_iobrdge_rd_data (lsu_iobrdge_rd_data[43:0]),
2398
                   .lsu_local_ldxa_data_g(lsu_local_ldxa_data_g[47:0]),
2399
                   /*AUTOINST*/
2400
                   // Outputs
2401
                   .asi_d               (asi_d[7:0]),
2402
                   .lsu_excpctl_asi_state_m(lsu_excpctl_asi_state_m[7:0]),
2403
                   .lsu_dctl_asi_state_m(lsu_dctl_asi_state_m[7:0]),
2404
                   .lsu_spu_asi_state_e (lsu_spu_asi_state_e[7:0]),
2405
                   .lsu_tlu_rsr_data_e  (lsu_tlu_rsr_data_e[7:0]),
2406
                   .lsu_asi_state       (lsu_asi_state[7:0]),
2407
                   .lsu_asi_reg0        (lsu_asi_reg0_t[7:0]),
2408
                   .lsu_asi_reg1        (lsu_asi_reg1_t[7:0]),
2409
                   .lsu_asi_reg2        (lsu_asi_reg2_t[7:0]),
2410
                   .lsu_asi_reg3        (lsu_asi_reg3_t[7:0]),
2411
                   .lsu_t0_pctxt_state  (lsu_t0_pctxt_state_t[12:0]),
2412
                   .lsu_t1_pctxt_state  (lsu_t1_pctxt_state_t[12:0]),
2413
                   .lsu_t2_pctxt_state  (lsu_t2_pctxt_state_t[12:0]),
2414
                   .lsu_t3_pctxt_state  (lsu_t3_pctxt_state_t[12:0]),
2415
                   .lsu_tlu_dside_ctxt_m(lsu_tlu_dside_ctxt_m[12:0]),
2416
                   .lsu_tlu_pctxt_m     (lsu_tlu_pctxt_m[12:0]),
2417
                   .tlb_ctxt            (tlb_ctxt[12:0]),
2418
                   .lsu_pid_state0      (lsu_pid_state0_t[2:0]),
2419
                   .lsu_pid_state1      (lsu_pid_state1_t[2:0]),
2420
                   .lsu_pid_state2      (lsu_pid_state2_t[2:0]),
2421
                   .lsu_pid_state3      (lsu_pid_state3_t[2:0]),
2422
                   .lsu_dtlb_cam_pid_e  (lsu_dtlb_cam_pid_e[2:0]),
2423
                   .bist_ctl_reg_in     (bist_ctl_reg_in[6:0]),
2424
                   .lsu_ifu_direct_map_l1(lsu_ifu_direct_map_l1),
2425
                   .dc_direct_map       (dc_direct_map),
2426
                   .lsu_ictag_mrgn      (lsu_ictag_mrgn[3:0]),
2427
                   .lsu_dctag_mrgn      (lsu_dctag_mrgn[3:0]),
2428
                   .lsu_mamem_mrgn      (lsu_mamem_mrgn[3:0]),
2429
                   .lsu_dtlb_mrgn       (lsu_dtlb_mrgn[7:0]),
2430
                   .lsu_itlb_mrgn       (lsu_itlb_mrgn[7:0]),
2431
                   .lsu_ldst_va_m       (lsu_ldst_va_m[12:0]),
2432
                   .lsu_ldst_va_m_buf   (lsu_ldst_va_m_buf[47:0]),
2433
                   .lsu_tlu_ldst_va_m   (lsu_tlu_ldst_va_m[9:0]),
2434
                   .lsu_tlu_tlb_asi_state_m(lsu_tlu_tlb_asi_state_m[7:0]),
2435
                   .lsu_ifu_asi_state   (lsu_ifu_asi_state[7:0]),
2436
                   .lsu_tlu_tlb_ldst_va_m(lsu_tlu_tlb_ldst_va_m[10:0]),
2437
                   .lsu_tlu_tlb_dmp_va_m(lsu_tlu_tlb_dmp_va_m[47:13]),
2438
                   .lsu_ifu_asi_addr    (lsu_ifu_asi_addr[17:0]),
2439
                   .lsu_diagnstc_wr_addr_e(lsu_diagnstc_wr_addr_e[10:0]),
2440
                   .lsu_diagnstc_dc_prty_invrt_e(lsu_diagnstc_dc_prty_invrt_e[7:0]),
2441
                   .lsu_ifu_err_addr    (lsu_ifu_err_addr[47:4]),
2442
                   .va_wtchpt_msk_match_m(va_wtchpt_msk_match_m),
2443
                   .lsu_ldst_va_g       (lsu_ldst_va_g[7:0]),
2444
                   .lsu_dp_ctl_reg0     (lsu_dp_ctl_reg0[5:0]),
2445
                   .lsu_dp_ctl_reg1     (lsu_dp_ctl_reg1[5:0]),
2446
                   .lsu_dp_ctl_reg2     (lsu_dp_ctl_reg2[5:0]),
2447
                   .lsu_dp_ctl_reg3     (lsu_dp_ctl_reg3[5:0]),
2448
                   .lsu_diagnstc_wr_way_e(lsu_diagnstc_wr_way_e[1:0]),
2449
                   .lsu_diag_va_prty_invrt(lsu_diag_va_prty_invrt),
2450
                   // Inputs
2451
                   .rclk                (clk),                   // Templated
2452
                   .rst_l               (dctl_rst_l),            // Templated
2453
                   .se                  (se),
2454
                   .async_tlb_index     (async_tlb_index[5:0]),
2455
                   .lsu_dtlb_dmp_vld_e  (lsu_dtlb_dmp_vld_e),
2456
                   .tlu_lsu_asi_m       (tlu_lsu_asi_m[7:0]),
2457
                   .exu_tlu_wsr_data_m  (exu_tlu_wsr_data_m[7:0]),
2458
                   .tlu_lsu_asi_update_g(tlu_lsu_asi_update_g),
2459
                   .asi_state_wr_thrd   (asi_state_wr_thrd[3:0]),
2460
                   .ifu_lsu_imm_asi_d   (ifu_lsu_imm_asi_d[7:0]),
2461
                   .thread0_d           (thread0_d),
2462
                   .thread1_d           (thread1_d),
2463
                   .thread2_d           (thread2_d),
2464
                   .thread3_d           (thread3_d),
2465
                   .ifu_lsu_imm_asi_vld_d(ifu_lsu_imm_asi_vld_d),
2466
                   .lsu_err_addr_sel    (lsu_err_addr_sel[2:0]),
2467
                   .pctxt_state_wr_thrd (pctxt_state_wr_thrd[3:0]),
2468
                   .sctxt_state_wr_thrd (sctxt_state_wr_thrd[3:0]),
2469
                   .st_rs3_data_g       (st_rs3_data_g[32:0]),
2470
                   .thread0_ctxt        (thread0_ctxt),
2471
                   .thread1_ctxt        (thread1_ctxt),
2472
                   .thread2_ctxt        (thread2_ctxt),
2473
                   .thread3_ctxt        (thread3_ctxt),
2474
                   .thread_pctxt        (thread_pctxt),
2475
                   .thread_sctxt        (thread_sctxt),
2476
                   .thread_actxt        (thread_actxt),
2477
                   .thread_default      (thread_default),
2478
                   .tlu_dtlb_tte_tag_w2 (tlu_dtlb_tte_tag_w2[12:0]),
2479
                   .tlu_dtlb_tte_tag_b58t56(tlu_dtlb_tte_tag_w2[58:56]), // Templated
2480
                   .thread0_g           (thread0_g),
2481
                   .thread1_g           (thread1_g),
2482
                   .thread2_g           (thread2_g),
2483
                   .thread3_g           (thread3_g),
2484
                   .pid_state_wr_en     (pid_state_wr_en[3:0]),
2485
                   .thread0_e           (thread0_e),
2486
                   .thread1_e           (thread1_e),
2487
                   .thread2_e           (thread2_e),
2488
                   .thread3_e           (thread3_e),
2489
                   .thread0_m           (lsu_dctldp_thread0_m),  // Templated
2490
                   .thread1_m           (lsu_dctldp_thread1_m),  // Templated
2491
                   .thread2_m           (lsu_dctldp_thread2_m),  // Templated
2492
                   .thread3_m           (lsu_dctldp_thread3_m),  // Templated
2493
                   .lsu_iobrdge_wr_data (lsu_iobrdge_wr_data[27:0]),
2494
                   .dfture_tap_wr_mx_sel(dfture_tap_wr_mx_sel),
2495
                   .lctl_rst            (lctl_rst[3:0]),
2496
                   .lsu_ctl_state_wr_en (lsu_ctl_state_wr_en[3:0]),
2497
                   .lsuctl_ctlbits_wr_en(lsuctl_ctlbits_wr_en[3:0]),
2498
                   .dfture_tap_rd_en    (dfture_tap_rd_en[3:0]),
2499
                   .bist_tap_wr_en      (bist_tap_wr_en),
2500
                   .bist_ctl_reg_out    (bist_ctl_reg_out[10:0]),
2501
                   .mrgn_tap_wr_en      (mrgn_tap_wr_en),
2502
                   .ldiagctl_wr_en      (ldiagctl_wr_en),
2503
                   .misc_ctl_sel_din    (misc_ctl_sel_din[3:0]),
2504
                   .lsu_asi_sel_fmx1    (lsu_asi_sel_fmx1[2:0]),
2505
                   .lsu_asi_sel_fmx2    (lsu_asi_sel_fmx2[2:0]),
2506
                   .exu_lsu_ldst_va_e   (exu_lsu_ldst_va_e[47:0]),
2507
                   .tlb_access_en0_g    (tlb_access_en0_g),
2508
                   .tlb_access_en1_g    (tlb_access_en1_g),
2509
                   .tlb_access_en2_g    (tlb_access_en2_g),
2510
                   .tlb_access_en3_g    (tlb_access_en3_g),
2511
                   .tlb_access_sel_thrd0(tlb_access_sel_thrd0),
2512
                   .tlb_access_sel_thrd1(tlb_access_sel_thrd1),
2513
                   .tlb_access_sel_thrd2(tlb_access_sel_thrd2),
2514
                   .tlb_access_sel_default(tlb_access_sel_default),
2515
                   .mrgnctl_wr_en       (mrgnctl_wr_en),
2516
                   .lsu_dcfill_addr_e   (lsu_dcache_fill_addr_e_err[10:4]), // Templated
2517
                   .lsu_error_pa_m      (lsu_error_pa_m[28:0]),
2518
                   .stb_ldst_byte_msk   (stb_ldst_byte_msk[7:0]),
2519
                   .lsu_diagnstc_va_sel (lsu_diagnstc_va_sel[3:0]),
2520
                   .rst_tri_en          (mux_drive_disable));     // Templated
2521
`endif // !`ifdef FPGA_SYN_1THREAD
2522
 
2523
/*
2524
lsu_dctl AUTO_TEMPLATE (
2525
               .rst_tri_en           (mux_drive_disable),
2526
               .stb_cam_hit             (stb_cam_hit_bf1),
2527
               .lsu_ttype_vld_m2        (lsu_ttype_vld_m2_bf1),
2528
               .ifu_tlu_inst_vld_m      (ifu_tlu_inst_vld_m_bf1),
2529
               .bistctl_wr_en           (),
2530
               .rclk                    (clk),
2531
               .lsu_iobrdge_tap_rq_type_b8    (lsu_iobrdge_tap_rq_type[8:8]),
2532
               .lsu_iobrdge_tap_rq_type_b6_b3 (lsu_iobrdge_tap_rq_type[6:3]),
2533
               .lsu_iobrdge_tap_rq_type_b1_b0 (lsu_iobrdge_tap_rq_type[1:0]),
2534
 
2535
               .lsu_ifu_err_addr_b39    (lsu_ifu_err_addr[39]),
2536
               .ld_inst_vld_e           (ifu_lsu_ld_inst_e),
2537
               .lsu_sscan_data          (lsu_sscan_data[14:13]),
2538
               .ldst_sz_e               (ifu_lsu_ldst_size_e[1:0]),
2539
               .lsu_l1hit_sign_extend_e (ifu_lsu_sign_ext_e),
2540
               .lsu_tlb_invert_endian_g (tlb_rd_tte_data_ie_buf),
2541
               .lsu_tte_data_cp_g       (tlb_rd_tte_data[`STLB_DATA_CP]),
2542
               .st_inst_vld_e           (ifu_lsu_st_inst_e),
2543
               .tlb_demap_actxt         (tlu_dtlb_dmp_actxt_g),
2544
               .tlb_demap_nctxt         (tlu_dtlb_dmp_nctxt_g),
2545
               .tlb_demap_pctxt         (tlu_dtlb_dmp_pctxt_g),
2546
               .tlb_demap_sctxt         (tlu_dtlb_dmp_sctxt_g),
2547
               .tlb_demap_thrid         (tlu_idtlb_dmp_thrid_g[1:0]),
2548
               .lsu_dfill_tid_e               (dfq_tid[1:0]),
2549
                     .tlb_pgnum                     ({tlb_pgnum_buf[39:10]}),
2550
               .lsu_ldst_va_b12_b11_m   (lsu_ldst_va_m[12:11]),
2551
               .lsu_ldst_va_b7_b0_m     (lsu_ldst_va_m[7:0]));
2552
 
2553
*/
2554
 
2555
`ifdef FPGA_SYN_1THREAD
2556
 
2557
lsu_dctl dctl (
2558
               .so                      (short_scan1_3),
2559
               .si                      (short_scan1_2),
2560
 
2561
         .lsu_dtlb_cam_real_e     (lsu_dtlb_cam_real_e),
2562
 
2563
                      /*AUTOINST*/
2564
               // Outputs
2565
               .lsu_tlu_nucleus_ctxt_m  (lsu_tlu_nucleus_ctxt_m),
2566
               .lsu_quad_word_access_g  (lsu_quad_word_access_g),
2567
               .dctl_rst_l              (dctl_rst_l),
2568
               .lsu_tlu_wsr_inst_e      (lsu_tlu_wsr_inst_e),
2569
               .lsu_l2fill_fpld_e       (lsu_l2fill_fpld_e),
2570
               .dva_vld_m_bf            (dva_vld_m_bf[3:0]),
2571
               .lsu_no_spc_pref         (lsu_no_spc_pref[3:0]),
2572
               .ifu_tlu_flush_fd_w      (ifu_tlu_flush_fd_w),
2573
               .ifu_tlu_flush_fd2_w     (ifu_tlu_flush_fd2_w),
2574
               .ifu_tlu_flush_fd3_w     (ifu_tlu_flush_fd3_w),
2575
               .ifu_lsu_flush_w         (ifu_lsu_flush_w),
2576
               .lsu_tlu_thrid_d         (lsu_tlu_thrid_d[1:0]),
2577
               .lsu_diagnstc_data_sel   (lsu_diagnstc_data_sel[3:0]),
2578
               .lsu_diagnstc_va_sel     (lsu_diagnstc_va_sel[3:0]),
2579
               .lsu_err_addr_sel        (lsu_err_addr_sel[2:0]),
2580
               .dva_bit_wr_en_e         (dva_bit_wr_en_e[15:0]),
2581
               .dva_wr_adr_e            (dva_wr_adr_e[10:6]),
2582
               .lsu_exu_ldst_miss_w2    (lsu_exu_ldst_miss_w2),
2583
               .lsu_exu_dfill_vld_w2    (lsu_exu_dfill_vld_w2),
2584
               .lsu_ffu_ld_vld          (lsu_ffu_ld_vld),
2585
               .lsu_ld_miss_wb          (lsu_ld_miss_wb),
2586
               .lsu_dtlb_bypass_e       (lsu_dtlb_bypass_e),
2587
               .ld_pcx_pkt_g            (ld_pcx_pkt_g[`LMQ_WIDTH-1:40]),
2588
               .tlb_ldst_cam_vld        (tlb_ldst_cam_vld),
2589
               .ldxa_internal           (ldxa_internal),
2590
               .lsu_ifu_ldsta_internal_e(lsu_ifu_ldsta_internal_e),
2591
               .lsu_ifu_ldst_cmplt      (lsu_ifu_ldst_cmplt[3:0]),
2592
               .lsu_ifu_itlb_en         (lsu_ifu_itlb_en[3:0]),
2593
               .lsu_ifu_icache_en       (lsu_ifu_icache_en[3:0]),
2594
               .lmq_byp_data_en_w2      (lmq_byp_data_en_w2[3:0]),
2595
               .lmq_byp_data_fmx_sel    (lmq_byp_data_fmx_sel[3:0]),
2596
               .lmq_byp_data_mxsel0     (lmq_byp_data_mxsel0[3:0]),
2597
               .lmq_byp_data_mxsel1     (lmq_byp_data_mxsel1[3:0]),
2598
               .lmq_byp_data_mxsel2     (lmq_byp_data_mxsel2[3:0]),
2599
               .lmq_byp_data_mxsel3     (lmq_byp_data_mxsel3[3:0]),
2600
               .lmq_byp_ldxa_mxsel0     (lmq_byp_ldxa_mxsel0[2:0]),
2601
               .lmq_byp_ldxa_mxsel1     (lmq_byp_ldxa_mxsel1[2:0]),
2602
               .lmq_byp_ldxa_mxsel2     (lmq_byp_ldxa_mxsel2[2:0]),
2603
               .lmq_byp_ldxa_mxsel3     (lmq_byp_ldxa_mxsel3[2:0]),
2604
               .lsu_ld_thrd_byp_sel_e   (lsu_ld_thrd_byp_sel_e[2:0]),
2605
               .dcache_byte_wr_en_e     (dcache_byte_wr_en_e[15:0]),
2606
               .lsu_dcache_wr_vld_e     (lsu_dcache_wr_vld_e),
2607
               .lsu_ldstub_g            (lsu_ldstub_g),
2608
               .lsu_swap_g              (lsu_swap_g),
2609
               .lsu_tlu_dtlb_done       (lsu_tlu_dtlb_done),
2610
               .lsu_exu_thr_m           (lsu_exu_thr_m[1:0]),
2611
               .merge7_sel_byte0_m      (merge7_sel_byte0_m),
2612
               .merge7_sel_byte7_m      (merge7_sel_byte7_m),
2613
               .merge6_sel_byte1_m      (merge6_sel_byte1_m),
2614
               .merge6_sel_byte6_m      (merge6_sel_byte6_m),
2615
               .merge5_sel_byte2_m      (merge5_sel_byte2_m),
2616
               .merge5_sel_byte5_m      (merge5_sel_byte5_m),
2617
               .merge4_sel_byte3_m      (merge4_sel_byte3_m),
2618
               .merge4_sel_byte4_m      (merge4_sel_byte4_m),
2619
               .merge3_sel_byte0_m      (merge3_sel_byte0_m),
2620
               .merge3_sel_byte3_m      (merge3_sel_byte3_m),
2621
               .merge3_sel_byte4_m      (merge3_sel_byte4_m),
2622
               .merge3_sel_byte7_default_m(merge3_sel_byte7_default_m),
2623
               .merge3_sel_byte_m       (merge3_sel_byte_m),
2624
               .merge2_sel_byte1_m      (merge2_sel_byte1_m),
2625
               .merge2_sel_byte2_m      (merge2_sel_byte2_m),
2626
               .merge2_sel_byte5_m      (merge2_sel_byte5_m),
2627
               .merge2_sel_byte6_default_m(merge2_sel_byte6_default_m),
2628
               .merge2_sel_byte_m       (merge2_sel_byte_m),
2629
               .merge0_sel_byte0_m      (merge0_sel_byte0_m),
2630
               .merge0_sel_byte1_m      (merge0_sel_byte1_m),
2631
               .merge0_sel_byte2_m      (merge0_sel_byte2_m),
2632
               .merge0_sel_byte3_default_m(merge0_sel_byte3_default_m),
2633
               .merge0_sel_byte4_m      (merge0_sel_byte4_m),
2634
               .merge0_sel_byte5_m      (merge0_sel_byte5_m),
2635
               .merge0_sel_byte6_m      (merge0_sel_byte6_m),
2636
               .merge0_sel_byte7_default_m(merge0_sel_byte7_default_m),
2637
               .merge1_sel_byte0_m      (merge1_sel_byte0_m),
2638
               .merge1_sel_byte1_m      (merge1_sel_byte1_m),
2639
               .merge1_sel_byte2_m      (merge1_sel_byte2_m),
2640
               .merge1_sel_byte3_default_m(merge1_sel_byte3_default_m),
2641
               .merge1_sel_byte4_m      (merge1_sel_byte4_m),
2642
               .merge1_sel_byte5_m      (merge1_sel_byte5_m),
2643
               .merge1_sel_byte6_m      (merge1_sel_byte6_m),
2644
               .merge1_sel_byte7_default_m(merge1_sel_byte7_default_m),
2645
               .merge0_sel_byte_1h_m    (merge0_sel_byte_1h_m),
2646
               .merge1_sel_byte_1h_m    (merge1_sel_byte_1h_m),
2647
               .merge1_sel_byte_2h_m    (merge1_sel_byte_2h_m),
2648
               .lsu_dtagv_wr_vld_e      (lsu_dtagv_wr_vld_e),
2649
               .lsu_dtag_wrreq_x_e      (lsu_dtag_wrreq_x_e),
2650
               .lsu_dtag_index_sel_x_e  (lsu_dtag_index_sel_x_e),
2651
               .lsu_dtlb_wr_vld_e       (lsu_dtlb_wr_vld_e),
2652
               .lsu_dtlb_tag_rd_e       (lsu_dtlb_tag_rd_e),
2653
               .lsu_dtlb_data_rd_e      (lsu_dtlb_data_rd_e),
2654
               .lsu_dtlb_dmp_vld_e      (lsu_dtlb_dmp_vld_e),
2655
               .lsu_dtlb_dmp_all_e      (lsu_dtlb_dmp_all_e),
2656
               .lsu_dtlb_rwindex_vld_e  (lsu_dtlb_rwindex_vld_e),
2657
               .lsu_dtlb_invalid_all_l_m(lsu_dtlb_invalid_all_l_m),
2658
               .lsu_tlu_tlb_ld_inst_m   (lsu_tlu_tlb_ld_inst_m),
2659
               .lsu_tlu_tlb_st_inst_m   (lsu_tlu_tlb_st_inst_m),
2660
               .lsu_tlu_tlb_access_tid_m(lsu_tlu_tlb_access_tid_m[1:0]),
2661
               .lsu_tlb_data_rd_vld_g   (lsu_tlb_data_rd_vld_g),
2662
               .lsu_tlb_st_sel_m        (lsu_tlb_st_sel_m[3:0]),
2663
               .lsu_va_wtchpt0_wr_en_l  (lsu_va_wtchpt0_wr_en_l),
2664
               .lsu_va_wtchpt1_wr_en_l  (lsu_va_wtchpt1_wr_en_l),
2665
               .lsu_va_wtchpt2_wr_en_l  (lsu_va_wtchpt2_wr_en_l),
2666
               .lsu_va_wtchpt3_wr_en_l  (lsu_va_wtchpt3_wr_en_l),
2667
               .thread0_m               (thread0_m),
2668
               .thread1_m               (),
2669
               .thread2_m               (),
2670
               .thread3_m               (),
2671
               .lsu_dctldp_thread0_m    (lsu_dctldp_thread0_m),
2672
               .lsu_dctldp_thread1_m    (),
2673
               .lsu_dctldp_thread2_m    (),
2674
               .lsu_dctldp_thread3_m    (),
2675
               .thread0_g               (thread0_g),
2676
               .thread1_g               (),
2677
               .thread2_g               (),
2678
               .thread3_g               (),
2679
               .lsu_tlu_nonalt_ldst_m   (lsu_tlu_nonalt_ldst_m),
2680
               .lsu_tlu_xslating_ldst_m (lsu_tlu_xslating_ldst_m),
2681
               .lsu_tlu_ctxt_sel_m      (lsu_tlu_ctxt_sel_m[2:0]),
2682
               .lsu_tlu_write_op_m      (lsu_tlu_write_op_m),
2683
               .lsu_dtlb_addr_mask_l_e  (lsu_dtlb_addr_mask_l_e),
2684
               .dva_din_e               (dva_din_e),
2685
               .lsu_diagnstc_dtagv_prty_invrt_e(lsu_diagnstc_dtagv_prty_invrt_e),
2686
               .lsu_ifu_asi_load        (lsu_ifu_asi_load),
2687
               .lsu_ifu_asi_thrid       (lsu_ifu_asi_thrid[1:0]),
2688
               .lsu_ifu_asi_vld         (lsu_ifu_asi_vld),
2689
               .lsu_quad_asi_e          (lsu_quad_asi_e),
2690
               .lsu_local_ldxa_sel_g    (lsu_local_ldxa_sel_g),
2691
               .lsu_dtag_rsel_m         (lsu_dtag_rsel_m[3:0]),
2692
               .lsu_tlbop_force_swo     (lsu_tlbop_force_swo),
2693
               .lsu_atomic_pkt2_bsel_g  (lsu_atomic_pkt2_bsel_g[2:0]),
2694
               .lsu_dcache_tag_perror_g (lsu_dcache_tag_perror_g),
2695
               .lsu_dcache_data_perror_g(lsu_dcache_data_perror_g),
2696
               .lsu_ifu_l2_unc_error    (lsu_ifu_l2_unc_error),
2697
               .lsu_ifu_l2_corr_error   (lsu_ifu_l2_corr_error),
2698
               .lsu_ifu_dcache_data_perror(lsu_ifu_dcache_data_perror),
2699
               .lsu_ifu_dcache_tag_perror(lsu_ifu_dcache_tag_perror),
2700
               .lsu_ifu_error_tid       (lsu_ifu_error_tid[1:0]),
2701
               .lsu_ifu_io_error        (lsu_ifu_io_error),
2702
               .lsu_tlu_squash_va_oor_m (lsu_tlu_squash_va_oor_m),
2703
               .lsu_squash_va_oor_m     (lsu_squash_va_oor_m),
2704
               .tlb_cam_hit_g           (tlb_cam_hit_g),
2705
               .lsu_st_hw_le_g          (lsu_st_hw_le_g),
2706
               .lsu_st_w_or_dbl_le_g    (lsu_st_w_or_dbl_le_g),
2707
               .lsu_st_x_le_g           (lsu_st_x_le_g),
2708
               .lsu_swap_sel_default_g  (lsu_swap_sel_default_g),
2709
               .lsu_swap_sel_default_byte_7_2_g(lsu_swap_sel_default_byte_7_2_g),
2710
               .lsu_st_rmo_m            (lsu_st_rmo_m),
2711
               .lsu_bst_in_pipe_m       (lsu_bst_in_pipe_m),
2712
               .lsu_snap_blk_st_m       (lsu_snap_blk_st_m),
2713
               .lsu_blk_st_m            (lsu_blk_st_m),
2714
               .lsu_blkst_pgnum_m       (lsu_blkst_pgnum_m[39:10]),
2715
               .lsu_ffu_blk_asi_e       (lsu_ffu_blk_asi_e),
2716
               .lsu_blk_asi_m           (lsu_blk_asi_m),
2717
               .lsu_nonalt_nucl_access_m(lsu_nonalt_nucl_access_m),
2718
               .dcache_alt_mx_sel_e     (dcache_alt_mx_sel_e),
2719
               .dcache_alt_mx_sel_e_bf  (dcache_alt_mx_sel_e_bf),
2720
               .dcache_rvld_e           (dcache_rvld_e),
2721
               .lsu_dc_iob_access_e     (lsu_dc_iob_access_e),
2722
               .lsu_ifu_ldst_miss_w     (lsu_ifu_ldst_miss_w),
2723
               .lsu_ifu_dc_parity_error_w2(lsu_ifu_dc_parity_error_w2),
2724
               .lsu_ldst_inst_vld_e     (lsu_ldst_inst_vld_e),
2725
               .lsu_local_ldxa_tlbrd_sel_g(lsu_local_ldxa_tlbrd_sel_g),
2726
               .lsu_local_diagnstc_tagrd_sel_g(lsu_local_diagnstc_tagrd_sel_g),
2727
               .lsu_va_wtchpt_sel_g     (lsu_va_wtchpt_sel_g),
2728
               .asi_state_wr_thrd       (asi_state_wr_thrd[3:0]),
2729
               .thread0_d               (thread0_d),
2730
               .thread1_d               (),
2731
               .thread2_d               (),
2732
               .thread3_d               (),
2733
               .tlu_lsu_asi_update_g    (tlu_lsu_asi_update_g),
2734
               .pctxt_state_wr_thrd     (pctxt_state_wr_thrd[3:0]),
2735
               .sctxt_state_wr_thrd     (sctxt_state_wr_thrd[3:0]),
2736
               .thread_pctxt            (thread_pctxt),
2737
               .thread_sctxt            (thread_sctxt),
2738
               .thread_actxt            (thread_actxt),
2739
               .thread_default          (thread_default),
2740
               .thread0_ctxt            (thread0_ctxt),
2741
               .thread1_ctxt            (),
2742
               .thread2_ctxt            (),
2743
               .thread3_ctxt            (),
2744
               .pid_state_wr_en         (pid_state_wr_en[3:0]),
2745
               .thread0_e               (thread0_e),
2746
               .thread1_e               (),
2747
               .thread2_e               (),
2748
               .thread3_e               (),
2749
               .dfture_tap_wr_mx_sel    (dfture_tap_wr_mx_sel),
2750
               .lctl_rst                (lctl_rst[3:0]),
2751
               .lsu_ctl_state_wr_en     (lsu_ctl_state_wr_en[3:0]),
2752
               .lsuctl_ctlbits_wr_en    (lsuctl_ctlbits_wr_en[3:0]),
2753
               .dfture_tap_rd_en        (dfture_tap_rd_en[3:0]),
2754
               .bist_tap_wr_en          (bist_tap_wr_en),
2755
               .bistctl_wr_en           (),                      // Templated
2756
               .bist_ctl_reg_wr_en      (bist_ctl_reg_wr_en),
2757
               .mrgn_tap_wr_en          (mrgn_tap_wr_en),
2758
               .ldiagctl_wr_en          (ldiagctl_wr_en),
2759
               .misc_ctl_sel_din        (misc_ctl_sel_din[3:0]),
2760
               .lsu_asi_sel_fmx1        (lsu_asi_sel_fmx1[2:0]),
2761
               .lsu_asi_sel_fmx2        (lsu_asi_sel_fmx2[2:0]),
2762
               .tlb_access_en0_g        (tlb_access_en0_g),
2763
               .tlb_access_en1_g        (),
2764
               .tlb_access_en2_g        (),
2765
               .tlb_access_en3_g        (),
2766
               .tlb_access_sel_thrd0    (tlb_access_sel_thrd0),
2767
               .tlb_access_sel_thrd1    (tlb_access_sel_thrd1),
2768
               .tlb_access_sel_thrd2    (tlb_access_sel_thrd2),
2769
               .tlb_access_sel_default  (tlb_access_sel_default),
2770
               .mrgnctl_wr_en           (mrgnctl_wr_en),
2771
               .hpv_priv_m              (hpv_priv_m),
2772
               .hpstate_en_m            (hpstate_en_m),
2773
               .dcache_arry_data_sel_m  (dcache_arry_data_sel_m),
2774
               .dtlb_bypass_m           (dtlb_bypass_m),
2775
               .lsu_alt_space_m         (lsu_alt_space_m),
2776
               .atomic_m                (atomic_m),
2777
               .ldst_dbl_m              (ldst_dbl_m),
2778
               .fp_ldst_m               (fp_ldst_m),
2779
               .lda_internal_m          (lda_internal_m),
2780
               .sta_internal_m          (sta_internal_m),
2781
               .cam_real_m              (cam_real_m),
2782
               .data_rd_vld_g           (data_rd_vld_g),
2783
               .tag_rd_vld_g            (tag_rd_vld_g),
2784
               .ldst_sz_m               (ldst_sz_m[1:0]),
2785
               .asi_internal_m          (asi_internal_m),
2786
               .rd_only_ltlb_asi_e      (rd_only_ltlb_asi_e),
2787
               .wr_only_ltlb_asi_e      (wr_only_ltlb_asi_e),
2788
               .dfill_tlb_asi_e         (dfill_tlb_asi_e),
2789
               .ifill_tlb_asi_e         (ifill_tlb_asi_e),
2790
               .nofault_asi_m           (nofault_asi_m),
2791
               .as_if_user_asi_m        (as_if_user_asi_m),
2792
               .atomic_asi_m            (atomic_asi_m),
2793
               .phy_use_ec_asi_m        (phy_use_ec_asi_m),
2794
               .phy_byp_ec_asi_m        (phy_byp_ec_asi_m),
2795
               .quad_asi_m              (quad_asi_m),
2796
               .binit_quad_asi_m        (binit_quad_asi_m),
2797
               .blk_asi_m               (blk_asi_m),
2798
               .recognized_asi_m        (recognized_asi_m),
2799
               .strm_asi_m              (strm_asi_m),
2800
               .mmu_rd_only_asi_m       (mmu_rd_only_asi_m),
2801
               .rd_only_asi_m           (rd_only_asi_m),
2802
               .wr_only_asi_m           (wr_only_asi_m),
2803
               .unimp_asi_m             (unimp_asi_m),
2804
               .va_wtchpt_cmp_en_m      (va_wtchpt_cmp_en_m),
2805
               .lsu_tlu_async_ttype_vld_w2(lsu_tlu_async_ttype_vld_w2),
2806
               .lsu_tlu_async_ttype_w2  (lsu_tlu_async_ttype_w2[6:0]),
2807
               .lsu_tlu_async_tid_w2    (lsu_tlu_async_tid_w2[1:0]),
2808
               .async_tlb_index         (async_tlb_index[5:0]),
2809
               .l2fill_vld_m            (l2fill_vld_m),
2810
               .ld_thrd_byp_mxsel_m     (ld_thrd_byp_mxsel_m[3:0]),
2811
               .morphed_addr_m          (morphed_addr_m[7:0]),
2812
               .signed_ldst_byte_m      (signed_ldst_byte_m),
2813
               .signed_ldst_hw_m        (signed_ldst_hw_m),
2814
               .signed_ldst_w_m         (signed_ldst_w_m),
2815
               .lsu_tlb_asi_data_perr_g (lsu_tlb_asi_data_perr_g),
2816
               .lsu_tlb_asi_tag_perr_g  (lsu_tlb_asi_tag_perr_g),
2817
               .lsu_sscan_data          (lsu_sscan_data[14:13]), // Templated
2818
               .lsu_ld_inst_vld_g       (lsu_ld_inst_vld_g[3:0]),
2819
               .lsu_dcache_rand         (lsu_dcache_rand[1:0]),
2820
               .lsu_encd_way_hit        (lsu_encd_way_hit[1:0]),
2821
               .lsu_way_hit_or          (lsu_way_hit_or),
2822
               .lsu_memref_m            (lsu_memref_m),
2823
               .lsu_flsh_inst_m         (lsu_flsh_inst_m),
2824
               .lsu_ifu_asi_data_en_l   (lsu_ifu_asi_data_en_l),
2825
               .lsu_dcache_fill_addr_e  (lsu_dcache_fill_addr_e[10:3]),
2826
               .lsu_dcache_fill_addr_e_err(lsu_dcache_fill_addr_e_err[10:4]),
2827
               .lsu_thread_g            (lsu_thread_g[3:0]),
2828
               .lmq_ldd_vld             (lmq_ldd_vld),
2829
               .lsu_bist_rsel_way_e     (lsu_bist_rsel_way_e[3:0]),
2830
               .lsu_dcache_fill_way_e   (lsu_dcache_fill_way_e[3:0]),
2831
               .lmq_ld_addr_b3          (lmq_ld_addr_b3),
2832
               .lsu_outstanding_rmo_st_max(lsu_outstanding_rmo_st_max[3:0]),
2833
               .lsu_dcfill_data_mx_sel_e(lsu_dcfill_data_mx_sel_e),
2834
               // Inputs
2835
               .se                      (se),
2836
               .sehold                  (sehold),
2837
               .rst_tri_en              (mux_drive_disable),     // Templated
2838
               .rclk                    (clk),                   // Templated
2839
               .grst_l                  (grst_l),
2840
               .arst_l                  (arst_l),
2841
               .lsu_diag_va_prty_invrt  (lsu_diag_va_prty_invrt),
2842
               .dva_svld_e              (dva_svld_e),
2843
               .dva_snp_bit_wr_en_e     (dva_snp_bit_wr_en_e[15:0]),
2844
               .dva_snp_addr_e          (dva_snp_addr_e[4:0]),
2845
               .lsu_tte_data_cp_g       (tlb_rd_tte_data[`STLB_DATA_CP]), // Templated
2846
               .lsu_l2fill_vld          (lsu_l2fill_vld),
2847
               .ld_inst_vld_e           (ifu_lsu_ld_inst_e),     // Templated
2848
               .st_inst_vld_e           (ifu_lsu_st_inst_e),     // Templated
2849
               .ifu_lsu_ldst_fp_e       (ifu_lsu_ldst_fp_e),
2850
               .ldst_sz_e               (ifu_lsu_ldst_size_e[1:0]), // Templated
2851
               .lsu_ldst_va_b12_b11_m   (lsu_ldst_va_m[12:11]),  // Templated
2852
               .lsu_ldst_va_b7_b0_m     (lsu_ldst_va_m[7:0]),    // Templated
2853
               .ifu_lsu_rd_e            (ifu_lsu_rd_e[4:0]),
2854
               .tlb_cam_hit             (tlb_cam_hit),
2855
               .ifu_tlu_sraddr_d        (ifu_tlu_sraddr_d[6:0]),
2856
               .ifu_tlu_wsr_inst_d      (ifu_tlu_wsr_inst_d),
2857
               .ifu_lsu_alt_space_d     (ifu_lsu_alt_space_d),
2858
               .tlu_lsu_int_ldxa_vld_w2 (tlu_lsu_int_ldxa_vld_w2),
2859
               .tlu_lsu_int_ld_ill_va_w2(tlu_lsu_int_ld_ill_va_w2),
2860
               .tlu_lsu_ldxa_tid_w2     (tlu_lsu_ldxa_tid_w2[1:0]),
2861
               .ifu_lsu_ldxa_data_vld_w2(ifu_lsu_ldxa_data_vld_w2),
2862
               .ifu_lsu_ldxa_illgl_va_w2(ifu_lsu_ldxa_illgl_va_w2),
2863
               .ifu_lsu_ldxa_tid_w2     (ifu_lsu_ldxa_tid_w2[1:0]),
2864
               .ifu_lsu_asi_rd_unc      (ifu_lsu_asi_rd_unc),
2865
               .tlu_lsu_tl_zero         (tlu_lsu_tl_zero[3:0]),
2866
               .ifu_lsu_thrid_s         (ifu_lsu_thrid_s[1:0]),
2867
               .ifu_lsu_ldst_dbl_e      (ifu_lsu_ldst_dbl_e),
2868
               .ld_stb_full_raw_w2      (ld_stb_full_raw_w2),
2869
               .ld_sec_active           (ld_sec_active),
2870
               .ifu_tlu_inst_vld_m      (ifu_tlu_inst_vld_m_bf1), // Templated
2871
               .lsu_l2fill_bendian_m    (lsu_l2fill_bendian_m),
2872
               .lmq0_l2fill_fpld        (lmq0_l2fill_fpld),
2873
               .lmq1_l2fill_fpld        (lmq1_l2fill_fpld),
2874
               .lmq2_l2fill_fpld        (lmq2_l2fill_fpld),
2875
               .lmq3_l2fill_fpld        (lmq3_l2fill_fpld),
2876
               .cache_way_hit_buf1      (cache_way_hit_buf1[3:0]),
2877
               .cache_hit               (cache_hit),
2878
               .lmq0_byp_misc_sz        (lmq0_byp_misc_sz[1:0]),
2879
               .lmq1_byp_misc_sz        (lmq1_byp_misc_sz[1:0]),
2880
               .lmq2_byp_misc_sz        (lmq2_byp_misc_sz[1:0]),
2881
               .lmq3_byp_misc_sz        (lmq3_byp_misc_sz[1:0]),
2882
               .lsu_l2fill_sign_extend_m(lsu_l2fill_sign_extend_m),
2883
               .lsu_l1hit_sign_extend_e (ifu_lsu_sign_ext_e),    // Templated
2884
               .tlu_lsu_pstate_cle      (tlu_lsu_pstate_cle[3:0]),
2885
               .tlu_lsu_pstate_am       (tlu_lsu_pstate_am[3:0]),
2886
               .tlb_pgnum               ({tlb_pgnum_buf[39:10]}), // Templated
2887
               .tlb_demap_nctxt         (tlu_dtlb_dmp_nctxt_g),  // Templated
2888
               .tlb_demap_pctxt         (tlu_dtlb_dmp_pctxt_g),  // Templated
2889
               .tlb_demap_sctxt         (tlu_dtlb_dmp_sctxt_g),  // Templated
2890
               .tlb_demap_actxt         (tlu_dtlb_dmp_actxt_g),  // Templated
2891
               .tlb_demap_thrid         (tlu_idtlb_dmp_thrid_g[1:0]), // Templated
2892
               .ifu_lsu_casa_e          (ifu_lsu_casa_e),
2893
               .ifu_lsu_ldstub_e        (ifu_lsu_ldstub_e),
2894
               .ifu_lsu_swap_e          (ifu_lsu_swap_e),
2895
               .lsu_atm_st_cmplt_e      (lsu_atm_st_cmplt_e),
2896
               .lsu_cpx_pkt_atm_st_cmplt(lsu_cpx_pkt_atm_st_cmplt),
2897
               .spu_lsu_ldxa_data_vld_w2(spu_lsu_ldxa_data_vld_w2),
2898
               .spu_lsu_ldxa_illgl_va_w2(spu_lsu_ldxa_illgl_va_w2),
2899
               .spu_lsu_ldxa_tid_w2     (spu_lsu_ldxa_tid_w2[1:0]),
2900
               .spu_lsu_stxa_ack_tid    (spu_lsu_stxa_ack_tid[1:0]),
2901
               .spu_lsu_stxa_ack        (spu_lsu_stxa_ack),
2902
               .spu_lsu_unc_error_w2    (spu_lsu_unc_error_w2),
2903
               .spu_lsu_int_w2          (spu_lsu_int_w2),
2904
               .tlu_lsu_stxa_ack        (tlu_lsu_stxa_ack),
2905
               .tlu_lsu_stxa_ack_tid    (tlu_lsu_stxa_ack_tid[1:0]),
2906
               .lsu_tlb_invert_endian_g (tlb_rd_tte_data_ie_buf), // Templated
2907
               .lmq0_ncache_ld          (lmq0_ncache_ld),
2908
               .lmq1_ncache_ld          (lmq1_ncache_ld),
2909
               .lmq2_ncache_ld          (lmq2_ncache_ld),
2910
               .lmq3_ncache_ld          (lmq3_ncache_ld),
2911
               .ifu_tlu_mb_inst_e       (ifu_tlu_mb_inst_e),
2912
               .ifu_tlu_flsh_inst_e     (ifu_tlu_flsh_inst_e),
2913
               .lsu_stb_empty           ({3'b000, lsu_stb_empty[0]}),
2914
               .tlu_dtlb_tag_rd_g       (tlu_dtlb_tag_rd_g),
2915
               .tlu_dtlb_data_rd_g      (tlu_dtlb_data_rd_g),
2916
               .tlu_dtlb_dmp_vld_g      (tlu_dtlb_dmp_vld_g),
2917
               .tlu_dtlb_dmp_all_g      (tlu_dtlb_dmp_all_g),
2918
               .tlu_dtlb_rw_index_vld_g (tlu_dtlb_rw_index_vld_g),
2919
               .tlu_dtlb_invalidate_all_g(tlu_dtlb_invalidate_all_g),
2920
               .lsu_st_wr_dcache        (lsu_st_wr_dcache),
2921
               .tlu_lsu_asi_update_m    (tlu_lsu_asi_update_m),
2922
               .tlu_lsu_tid_m           (tlu_lsu_tid_m[1:0]),
2923
               .lsu_rd_dtag_parity_g    (lsu_rd_dtag_parity_g[3:0]),
2924
               .dcache_rparity_err_wb   (dcache_rparity_err_wb),
2925
               .lsu_diagnstc_wr_data_b0 (lsu_diagnstc_wr_data_b0),
2926
               .lsu_byp_ldd_oddrd_m     (lsu_byp_ldd_oddrd_m),
2927
               .tlu_lsu_redmode         (tlu_lsu_redmode[3:0]),
2928
               .tlu_lsu_redmode_rst_d1  (tlu_lsu_redmode_rst_d1[3:0]),
2929
               .dva_vld_m               (dva_vld_m[3:0]),
2930
               .lsu_dfill_tid_e         (dfq_tid[1:0]),          // Templated
2931
               .ifu_lsu_asi_ack         (ifu_lsu_asi_ack),
2932
               .lsu_intrpt_cmplt        (lsu_intrpt_cmplt[3:0]),
2933
               .lsu_iobrdge_tap_rq_type_b8(lsu_iobrdge_tap_rq_type[8:8]), // Templated
2934
               .lsu_iobrdge_tap_rq_type_b6_b3(lsu_iobrdge_tap_rq_type[6:3]), // Templated
2935
               .lsu_iobrdge_tap_rq_type_b1_b0(lsu_iobrdge_tap_rq_type[1:0]), // Templated
2936
               .lsu_iobrdge_fwd_pkt_vld (lsu_iobrdge_fwd_pkt_vld),
2937
               .lsu_cpx_ld_dtag_perror_e(lsu_cpx_ld_dtag_perror_e),
2938
               .lsu_cpx_ld_dcache_perror_e(lsu_cpx_ld_dcache_perror_e),
2939
               .lsu_cpx_pkt_ld_err      (lsu_cpx_pkt_ld_err[1:0]),
2940
               .ifu_lsu_nceen           (ifu_lsu_nceen[3:0]),
2941
               .tlu_lsu_ldxa_async_data_vld(tlu_lsu_ldxa_async_data_vld),
2942
               .tlu_lsu_hpv_priv        (tlu_lsu_hpv_priv[3:0]),
2943
               .tlu_lsu_hpstate_en      (tlu_lsu_hpstate_en[3:0]),
2944
               .ifu_lsu_memref_d        (ifu_lsu_memref_d),
2945
               .ifu_lsu_pref_inst_e     (ifu_lsu_pref_inst_e),
2946
               .lsu_pref_pcx_req        (lsu_pref_pcx_req),
2947
               .lsu_cpx_pkt_prefetch2   (lsu_cpx_pkt_prefetch2),
2948
               .lsu_ld_pcx_rq_sel_d2    (lsu_ld_pcx_rq_sel_d2[3:0]),
2949
               .lsu_pcx_req_squash_d1   (lsu_pcx_req_squash_d1),
2950
               .lsu_bld_helper_cmplt_m  (lsu_bld_helper_cmplt_m),
2951
               .lsu_bld_cnt_m           (lsu_bld_cnt_m[2:0]),
2952
               .lsu_bld_reset           (lsu_bld_reset),
2953
               .ffu_lsu_blk_st_e        (ffu_lsu_blk_st_e),
2954
               .lsu_stb_rmo_st_issue    ({3'b000, lsu_stb_rmo_st_issue[0]}),
2955
               .lsu_cpx_rmo_st_ack      (lsu_cpx_rmo_st_ack[3:0]),
2956
               .lsu_dfq_flsh_cmplt      (lsu_dfq_flsh_cmplt[3:0]),
2957
               .stb_cam_hit             (stb_cam_hit_bf1),       // Templated
2958
               .ifu_tlu_flush_m         (ifu_tlu_flush_m),
2959
               .ctu_sscan_tid           (ctu_sscan_tid[3:0]),
2960
               .tte_data_perror_unc     (tte_data_perror_unc),
2961
               .asi_tte_data_perror     (asi_tte_data_perror),
2962
               .asi_tte_tag_perror      (asi_tte_tag_perror),
2963
               .tlu_dtlb_rw_index_g     (tlu_dtlb_rw_index_g[5:0]),
2964
               .lsu_local_early_flush_g (lsu_local_early_flush_g),
2965
               .lsu_dfq_vld             (lsu_dfq_vld),
2966
               .gdbginit_l              (gdbginit_l),
2967
               .dc_direct_map           (dc_direct_map),
2968
               .asi_d                   (asi_d[7:0]),
2969
               .lsu_dctl_asi_state_m    (lsu_dctl_asi_state_m[7:0]),
2970
               .lsu_ldst_va_g           (lsu_ldst_va_g[7:0]),
2971
               .lsu_ifu_err_addr_b39    (lsu_ifu_err_addr[39]),  // Templated
2972
               .lsu_dp_ctl_reg0         (lsu_dp_ctl_reg0[5:0]),
2973
               .lsu_dp_ctl_reg1         (6'b000000),
2974
               .lsu_dp_ctl_reg2         (6'b000000),
2975
               .lsu_dp_ctl_reg3         (6'b000000),
2976
               .ldd_in_dfq_out          (ldd_in_dfq_out),
2977
               .dcache_iob_addr_e       (dcache_iob_addr_e[7:0]),
2978
               .mbist_dcache_index      (mbist_dcache_index[6:0]),
2979
               .mbist_dcache_word       (mbist_dcache_word),
2980
               .lsu_diagnstc_wr_addr_e  (lsu_diagnstc_wr_addr_e[10:0]),
2981
               .st_dcfill_addr          (st_dcfill_addr[10:0]),
2982
               .lsu_dfq_ld_vld          (lsu_dfq_ld_vld),
2983
               .lsu_dfq_st_vld          (lsu_dfq_st_vld),
2984
               .lmq0_ldd_vld            (lmq0_ldd_vld),
2985
               .lmq1_ldd_vld            (lmq1_ldd_vld),
2986
               .lmq2_ldd_vld            (lmq2_ldd_vld),
2987
               .lmq3_ldd_vld            (lmq3_ldd_vld),
2988
               .lsu_dfq_byp_tid         (lsu_dfq_byp_tid[1:0]),
2989
               .dfq_byp_ff_en           (dfq_byp_ff_en),
2990
               .lsu_dcache_iob_way_e    (lsu_dcache_iob_way_e[1:0]),
2991
               .mbist_dcache_way        (mbist_dcache_way[1:0]),
2992
               .lsu_diagnstc_wr_way_e   (lsu_diagnstc_wr_way_e[1:0]),
2993
               .lsu_st_way_e            (lsu_st_way_e[1:0]),
2994
               .lmq0_pcx_pkt_way        (lmq0_pcx_pkt_way[1:0]),
2995
               .lmq1_pcx_pkt_way        (2'b00),
2996
               .lmq2_pcx_pkt_way        (2'b00),
2997
               .lmq3_pcx_pkt_way        (2'b00),
2998
               .lmq0_ld_rq_type         (lmq0_ld_rq_type[2:0]),
2999
               .lmq1_ld_rq_type         (lmq1_ld_rq_type[2:0]),
3000
               .lmq2_ld_rq_type         (lmq2_ld_rq_type[2:0]),
3001
               .lmq3_ld_rq_type         (lmq3_ld_rq_type[2:0]),
3002
               .lmq0_pcx_pkt_addr       (lmq0_pcx_pkt_addr[10:0]),
3003
               .lmq1_pcx_pkt_addr       (lmq1_pcx_pkt_addr[10:0]),
3004
               .lmq2_pcx_pkt_addr       (lmq2_pcx_pkt_addr[10:0]),
3005
               .lmq3_pcx_pkt_addr       (lmq3_pcx_pkt_addr[10:0]),
3006
               .lsu_ttype_vld_m2        (lsu_ttype_vld_m2_bf1),  // Templated
3007
               .tlu_early_flush_pipe2_w (tlu_early_flush_pipe2_w),
3008
               .lsu_st_dcfill_size_e    (lsu_st_dcfill_size_e[1:0]),
3009
               .mbist_dcache_write      (mbist_dcache_write),
3010
               .mbist_dcache_read       (mbist_dcache_read));
3011
 
3012
`else // !`ifdef FPGA_SYN_1THREAD
3013
 
3014
lsu_dctl dctl (
3015
               .so                      (short_scan1_3),
3016
               .si                      (short_scan1_2),
3017
 
3018
         .lsu_dtlb_cam_real_e     (lsu_dtlb_cam_real_e),
3019
 
3020
                      /*AUTOINST*/
3021
               // Outputs
3022
               .lsu_tlu_nucleus_ctxt_m  (lsu_tlu_nucleus_ctxt_m),
3023
               .lsu_quad_word_access_g  (lsu_quad_word_access_g),
3024
               .dctl_rst_l              (dctl_rst_l),
3025
               .lsu_tlu_wsr_inst_e      (lsu_tlu_wsr_inst_e),
3026
               .lsu_l2fill_fpld_e       (lsu_l2fill_fpld_e),
3027
               .dva_vld_m_bf            (dva_vld_m_bf[3:0]),
3028
               .lsu_no_spc_pref         (lsu_no_spc_pref[3:0]),
3029
               .ifu_tlu_flush_fd_w      (ifu_tlu_flush_fd_w),
3030
               .ifu_tlu_flush_fd2_w     (ifu_tlu_flush_fd2_w),
3031
               .ifu_tlu_flush_fd3_w     (ifu_tlu_flush_fd3_w),
3032
               .ifu_lsu_flush_w         (ifu_lsu_flush_w),
3033
               .lsu_tlu_thrid_d         (lsu_tlu_thrid_d[1:0]),
3034
               .lsu_diagnstc_data_sel   (lsu_diagnstc_data_sel[3:0]),
3035
               .lsu_diagnstc_va_sel     (lsu_diagnstc_va_sel[3:0]),
3036
               .lsu_err_addr_sel        (lsu_err_addr_sel[2:0]),
3037
               .dva_bit_wr_en_e         (dva_bit_wr_en_e[15:0]),
3038
               .dva_wr_adr_e            (dva_wr_adr_e[10:6]),
3039
               .lsu_exu_ldst_miss_w2    (lsu_exu_ldst_miss_w2),
3040
               .lsu_exu_dfill_vld_w2    (lsu_exu_dfill_vld_w2),
3041
               .lsu_ffu_ld_vld          (lsu_ffu_ld_vld),
3042
               .lsu_ld_miss_wb          (lsu_ld_miss_wb),
3043
               .lsu_dtlb_bypass_e       (lsu_dtlb_bypass_e),
3044
               .ld_pcx_pkt_g            (ld_pcx_pkt_g[`LMQ_WIDTH-1:40]),
3045
               .tlb_ldst_cam_vld        (tlb_ldst_cam_vld),
3046
               .ldxa_internal           (ldxa_internal),
3047
               .lsu_ifu_ldsta_internal_e(lsu_ifu_ldsta_internal_e),
3048
               .lsu_ifu_ldst_cmplt      (lsu_ifu_ldst_cmplt[3:0]),
3049
               .lsu_ifu_itlb_en         (lsu_ifu_itlb_en[3:0]),
3050
               .lsu_ifu_icache_en       (lsu_ifu_icache_en[3:0]),
3051
               .lmq_byp_data_en_w2      (lmq_byp_data_en_w2[3:0]),
3052
               .lmq_byp_data_fmx_sel    (lmq_byp_data_fmx_sel[3:0]),
3053
               .lmq_byp_data_mxsel0     (lmq_byp_data_mxsel0[3:0]),
3054
               .lmq_byp_data_mxsel1     (lmq_byp_data_mxsel1[3:0]),
3055
               .lmq_byp_data_mxsel2     (lmq_byp_data_mxsel2[3:0]),
3056
               .lmq_byp_data_mxsel3     (lmq_byp_data_mxsel3[3:0]),
3057
               .lmq_byp_ldxa_mxsel0     (lmq_byp_ldxa_mxsel0[2:0]),
3058
               .lmq_byp_ldxa_mxsel1     (lmq_byp_ldxa_mxsel1[2:0]),
3059
               .lmq_byp_ldxa_mxsel2     (lmq_byp_ldxa_mxsel2[2:0]),
3060
               .lmq_byp_ldxa_mxsel3     (lmq_byp_ldxa_mxsel3[2:0]),
3061
               .lsu_ld_thrd_byp_sel_e   (lsu_ld_thrd_byp_sel_e[2:0]),
3062
               .dcache_byte_wr_en_e     (dcache_byte_wr_en_e[15:0]),
3063
               .lsu_dcache_wr_vld_e     (lsu_dcache_wr_vld_e),
3064
               .lsu_ldstub_g            (lsu_ldstub_g),
3065
               .lsu_swap_g              (lsu_swap_g),
3066
               .lsu_tlu_dtlb_done       (lsu_tlu_dtlb_done),
3067
               .lsu_exu_thr_m           (lsu_exu_thr_m[1:0]),
3068
               .merge7_sel_byte0_m      (merge7_sel_byte0_m),
3069
               .merge7_sel_byte7_m      (merge7_sel_byte7_m),
3070
               .merge6_sel_byte1_m      (merge6_sel_byte1_m),
3071
               .merge6_sel_byte6_m      (merge6_sel_byte6_m),
3072
               .merge5_sel_byte2_m      (merge5_sel_byte2_m),
3073
               .merge5_sel_byte5_m      (merge5_sel_byte5_m),
3074
               .merge4_sel_byte3_m      (merge4_sel_byte3_m),
3075
               .merge4_sel_byte4_m      (merge4_sel_byte4_m),
3076
               .merge3_sel_byte0_m      (merge3_sel_byte0_m),
3077
               .merge3_sel_byte3_m      (merge3_sel_byte3_m),
3078
               .merge3_sel_byte4_m      (merge3_sel_byte4_m),
3079
               .merge3_sel_byte7_default_m(merge3_sel_byte7_default_m),
3080
               .merge3_sel_byte_m       (merge3_sel_byte_m),
3081
               .merge2_sel_byte1_m      (merge2_sel_byte1_m),
3082
               .merge2_sel_byte2_m      (merge2_sel_byte2_m),
3083
               .merge2_sel_byte5_m      (merge2_sel_byte5_m),
3084
               .merge2_sel_byte6_default_m(merge2_sel_byte6_default_m),
3085
               .merge2_sel_byte_m       (merge2_sel_byte_m),
3086
               .merge0_sel_byte0_m      (merge0_sel_byte0_m),
3087
               .merge0_sel_byte1_m      (merge0_sel_byte1_m),
3088
               .merge0_sel_byte2_m      (merge0_sel_byte2_m),
3089
               .merge0_sel_byte3_default_m(merge0_sel_byte3_default_m),
3090
               .merge0_sel_byte4_m      (merge0_sel_byte4_m),
3091
               .merge0_sel_byte5_m      (merge0_sel_byte5_m),
3092
               .merge0_sel_byte6_m      (merge0_sel_byte6_m),
3093
               .merge0_sel_byte7_default_m(merge0_sel_byte7_default_m),
3094
               .merge1_sel_byte0_m      (merge1_sel_byte0_m),
3095
               .merge1_sel_byte1_m      (merge1_sel_byte1_m),
3096
               .merge1_sel_byte2_m      (merge1_sel_byte2_m),
3097
               .merge1_sel_byte3_default_m(merge1_sel_byte3_default_m),
3098
               .merge1_sel_byte4_m      (merge1_sel_byte4_m),
3099
               .merge1_sel_byte5_m      (merge1_sel_byte5_m),
3100
               .merge1_sel_byte6_m      (merge1_sel_byte6_m),
3101
               .merge1_sel_byte7_default_m(merge1_sel_byte7_default_m),
3102
               .merge0_sel_byte_1h_m    (merge0_sel_byte_1h_m),
3103
               .merge1_sel_byte_1h_m    (merge1_sel_byte_1h_m),
3104
               .merge1_sel_byte_2h_m    (merge1_sel_byte_2h_m),
3105
               .lsu_dtagv_wr_vld_e      (lsu_dtagv_wr_vld_e),
3106
               .lsu_dtag_wrreq_x_e      (lsu_dtag_wrreq_x_e),
3107
               .lsu_dtag_index_sel_x_e  (lsu_dtag_index_sel_x_e),
3108
               .lsu_dtlb_wr_vld_e       (lsu_dtlb_wr_vld_e),
3109
               .lsu_dtlb_tag_rd_e       (lsu_dtlb_tag_rd_e),
3110
               .lsu_dtlb_data_rd_e      (lsu_dtlb_data_rd_e),
3111
               .lsu_dtlb_dmp_vld_e      (lsu_dtlb_dmp_vld_e),
3112
               .lsu_dtlb_dmp_all_e      (lsu_dtlb_dmp_all_e),
3113
               .lsu_dtlb_rwindex_vld_e  (lsu_dtlb_rwindex_vld_e),
3114
               .lsu_dtlb_invalid_all_l_m(lsu_dtlb_invalid_all_l_m),
3115
               .lsu_tlu_tlb_ld_inst_m   (lsu_tlu_tlb_ld_inst_m),
3116
               .lsu_tlu_tlb_st_inst_m   (lsu_tlu_tlb_st_inst_m),
3117
               .lsu_tlu_tlb_access_tid_m(lsu_tlu_tlb_access_tid_m[1:0]),
3118
               .lsu_tlb_data_rd_vld_g   (lsu_tlb_data_rd_vld_g),
3119
               .lsu_tlb_st_sel_m        (lsu_tlb_st_sel_m[3:0]),
3120
               .lsu_va_wtchpt0_wr_en_l  (lsu_va_wtchpt0_wr_en_l),
3121
               .lsu_va_wtchpt1_wr_en_l  (lsu_va_wtchpt1_wr_en_l),
3122
               .lsu_va_wtchpt2_wr_en_l  (lsu_va_wtchpt2_wr_en_l),
3123
               .lsu_va_wtchpt3_wr_en_l  (lsu_va_wtchpt3_wr_en_l),
3124
               .thread0_m               (thread0_m),
3125
               .thread1_m               (thread1_m),
3126
               .thread2_m               (thread2_m),
3127
               .thread3_m               (thread3_m),
3128
               .lsu_dctldp_thread0_m    (lsu_dctldp_thread0_m),
3129
               .lsu_dctldp_thread1_m    (lsu_dctldp_thread1_m),
3130
               .lsu_dctldp_thread2_m    (lsu_dctldp_thread2_m),
3131
               .lsu_dctldp_thread3_m    (lsu_dctldp_thread3_m),
3132
               .thread0_g               (thread0_g),
3133
               .thread1_g               (thread1_g),
3134
               .thread2_g               (thread2_g),
3135
               .thread3_g               (thread3_g),
3136
               .lsu_tlu_nonalt_ldst_m   (lsu_tlu_nonalt_ldst_m),
3137
               .lsu_tlu_xslating_ldst_m (lsu_tlu_xslating_ldst_m),
3138
               .lsu_tlu_ctxt_sel_m      (lsu_tlu_ctxt_sel_m[2:0]),
3139
               .lsu_tlu_write_op_m      (lsu_tlu_write_op_m),
3140
               .lsu_dtlb_addr_mask_l_e  (lsu_dtlb_addr_mask_l_e),
3141
               .dva_din_e               (dva_din_e),
3142
               .lsu_diagnstc_dtagv_prty_invrt_e(lsu_diagnstc_dtagv_prty_invrt_e),
3143
               .lsu_ifu_asi_load        (lsu_ifu_asi_load),
3144
               .lsu_ifu_asi_thrid       (lsu_ifu_asi_thrid[1:0]),
3145
               .lsu_ifu_asi_vld         (lsu_ifu_asi_vld),
3146
               .lsu_quad_asi_e          (lsu_quad_asi_e),
3147
               .lsu_local_ldxa_sel_g    (lsu_local_ldxa_sel_g),
3148
               .lsu_dtag_rsel_m         (lsu_dtag_rsel_m[3:0]),
3149
               .lsu_tlbop_force_swo     (lsu_tlbop_force_swo),
3150
               .lsu_atomic_pkt2_bsel_g  (lsu_atomic_pkt2_bsel_g[2:0]),
3151
               .lsu_dcache_tag_perror_g (lsu_dcache_tag_perror_g),
3152
               .lsu_dcache_data_perror_g(lsu_dcache_data_perror_g),
3153
               .lsu_ifu_l2_unc_error    (lsu_ifu_l2_unc_error),
3154
               .lsu_ifu_l2_corr_error   (lsu_ifu_l2_corr_error),
3155
               .lsu_ifu_dcache_data_perror(lsu_ifu_dcache_data_perror),
3156
               .lsu_ifu_dcache_tag_perror(lsu_ifu_dcache_tag_perror),
3157
               .lsu_ifu_error_tid       (lsu_ifu_error_tid[1:0]),
3158
               .lsu_ifu_io_error        (lsu_ifu_io_error),
3159
               .lsu_tlu_squash_va_oor_m (lsu_tlu_squash_va_oor_m),
3160
               .lsu_squash_va_oor_m     (lsu_squash_va_oor_m),
3161
               .tlb_cam_hit_g           (tlb_cam_hit_g),
3162
               .lsu_st_hw_le_g          (lsu_st_hw_le_g),
3163
               .lsu_st_w_or_dbl_le_g    (lsu_st_w_or_dbl_le_g),
3164
               .lsu_st_x_le_g           (lsu_st_x_le_g),
3165
               .lsu_swap_sel_default_g  (lsu_swap_sel_default_g),
3166
               .lsu_swap_sel_default_byte_7_2_g(lsu_swap_sel_default_byte_7_2_g),
3167
               .lsu_st_rmo_m            (lsu_st_rmo_m),
3168
               .lsu_bst_in_pipe_m       (lsu_bst_in_pipe_m),
3169
               .lsu_snap_blk_st_m       (lsu_snap_blk_st_m),
3170
               .lsu_blk_st_m            (lsu_blk_st_m),
3171
               .lsu_blkst_pgnum_m       (lsu_blkst_pgnum_m[39:10]),
3172
               .lsu_ffu_blk_asi_e       (lsu_ffu_blk_asi_e),
3173
               .lsu_blk_asi_m           (lsu_blk_asi_m),
3174
               .lsu_nonalt_nucl_access_m(lsu_nonalt_nucl_access_m),
3175
               .dcache_alt_mx_sel_e     (dcache_alt_mx_sel_e),
3176
               .dcache_alt_mx_sel_e_bf  (dcache_alt_mx_sel_e_bf),
3177
               .dcache_rvld_e           (dcache_rvld_e),
3178
               .lsu_dc_iob_access_e     (lsu_dc_iob_access_e),
3179
               .lsu_ifu_ldst_miss_w     (lsu_ifu_ldst_miss_w),
3180
               .lsu_ifu_dc_parity_error_w2(lsu_ifu_dc_parity_error_w2),
3181
               .lsu_ldst_inst_vld_e     (lsu_ldst_inst_vld_e),
3182
               .lsu_local_ldxa_tlbrd_sel_g(lsu_local_ldxa_tlbrd_sel_g),
3183
               .lsu_local_diagnstc_tagrd_sel_g(lsu_local_diagnstc_tagrd_sel_g),
3184
               .lsu_va_wtchpt_sel_g     (lsu_va_wtchpt_sel_g),
3185
               .asi_state_wr_thrd       (asi_state_wr_thrd[3:0]),
3186
               .thread0_d               (thread0_d),
3187
               .thread1_d               (thread1_d),
3188
               .thread2_d               (thread2_d),
3189
               .thread3_d               (thread3_d),
3190
               .tlu_lsu_asi_update_g    (tlu_lsu_asi_update_g),
3191
               .pctxt_state_wr_thrd     (pctxt_state_wr_thrd[3:0]),
3192
               .sctxt_state_wr_thrd     (sctxt_state_wr_thrd[3:0]),
3193
               .thread_pctxt            (thread_pctxt),
3194
               .thread_sctxt            (thread_sctxt),
3195
               .thread_actxt            (thread_actxt),
3196
               .thread_default          (thread_default),
3197
               .thread0_ctxt            (thread0_ctxt),
3198
               .thread1_ctxt            (thread1_ctxt),
3199
               .thread2_ctxt            (thread2_ctxt),
3200
               .thread3_ctxt            (thread3_ctxt),
3201
               .pid_state_wr_en         (pid_state_wr_en[3:0]),
3202
               .thread0_e               (thread0_e),
3203
               .thread1_e               (thread1_e),
3204
               .thread2_e               (thread2_e),
3205
               .thread3_e               (thread3_e),
3206
               .dfture_tap_wr_mx_sel    (dfture_tap_wr_mx_sel),
3207
               .lctl_rst                (lctl_rst[3:0]),
3208
               .lsu_ctl_state_wr_en     (lsu_ctl_state_wr_en[3:0]),
3209
               .lsuctl_ctlbits_wr_en    (lsuctl_ctlbits_wr_en[3:0]),
3210
               .dfture_tap_rd_en        (dfture_tap_rd_en[3:0]),
3211
               .bist_tap_wr_en          (bist_tap_wr_en),
3212
               .bistctl_wr_en           (),                      // Templated
3213
               .bist_ctl_reg_wr_en      (bist_ctl_reg_wr_en),
3214
               .mrgn_tap_wr_en          (mrgn_tap_wr_en),
3215
               .ldiagctl_wr_en          (ldiagctl_wr_en),
3216
               .misc_ctl_sel_din        (misc_ctl_sel_din[3:0]),
3217
               .lsu_asi_sel_fmx1        (lsu_asi_sel_fmx1[2:0]),
3218
               .lsu_asi_sel_fmx2        (lsu_asi_sel_fmx2[2:0]),
3219
               .tlb_access_en0_g        (tlb_access_en0_g),
3220
               .tlb_access_en1_g        (tlb_access_en1_g),
3221
               .tlb_access_en2_g        (tlb_access_en2_g),
3222
               .tlb_access_en3_g        (tlb_access_en3_g),
3223
               .tlb_access_sel_thrd0    (tlb_access_sel_thrd0),
3224
               .tlb_access_sel_thrd1    (tlb_access_sel_thrd1),
3225
               .tlb_access_sel_thrd2    (tlb_access_sel_thrd2),
3226
               .tlb_access_sel_default  (tlb_access_sel_default),
3227
               .mrgnctl_wr_en           (mrgnctl_wr_en),
3228
               .hpv_priv_m              (hpv_priv_m),
3229
               .hpstate_en_m            (hpstate_en_m),
3230
               .dcache_arry_data_sel_m  (dcache_arry_data_sel_m),
3231
               .dtlb_bypass_m           (dtlb_bypass_m),
3232
               .lsu_alt_space_m         (lsu_alt_space_m),
3233
               .atomic_m                (atomic_m),
3234
               .ldst_dbl_m              (ldst_dbl_m),
3235
               .fp_ldst_m               (fp_ldst_m),
3236
               .lda_internal_m          (lda_internal_m),
3237
               .sta_internal_m          (sta_internal_m),
3238
               .cam_real_m              (cam_real_m),
3239
               .data_rd_vld_g           (data_rd_vld_g),
3240
               .tag_rd_vld_g            (tag_rd_vld_g),
3241
               .ldst_sz_m               (ldst_sz_m[1:0]),
3242
               .asi_internal_m          (asi_internal_m),
3243
               .rd_only_ltlb_asi_e      (rd_only_ltlb_asi_e),
3244
               .wr_only_ltlb_asi_e      (wr_only_ltlb_asi_e),
3245
               .dfill_tlb_asi_e         (dfill_tlb_asi_e),
3246
               .ifill_tlb_asi_e         (ifill_tlb_asi_e),
3247
               .nofault_asi_m           (nofault_asi_m),
3248
               .as_if_user_asi_m        (as_if_user_asi_m),
3249
               .atomic_asi_m            (atomic_asi_m),
3250
               .phy_use_ec_asi_m        (phy_use_ec_asi_m),
3251
               .phy_byp_ec_asi_m        (phy_byp_ec_asi_m),
3252
               .quad_asi_m              (quad_asi_m),
3253
               .binit_quad_asi_m        (binit_quad_asi_m),
3254
               .blk_asi_m               (blk_asi_m),
3255
               .recognized_asi_m        (recognized_asi_m),
3256
               .strm_asi_m              (strm_asi_m),
3257
               .mmu_rd_only_asi_m       (mmu_rd_only_asi_m),
3258
               .rd_only_asi_m           (rd_only_asi_m),
3259
               .wr_only_asi_m           (wr_only_asi_m),
3260
               .unimp_asi_m             (unimp_asi_m),
3261
               .va_wtchpt_cmp_en_m      (va_wtchpt_cmp_en_m),
3262
               .lsu_tlu_async_ttype_vld_w2(lsu_tlu_async_ttype_vld_w2),
3263
               .lsu_tlu_async_ttype_w2  (lsu_tlu_async_ttype_w2[6:0]),
3264
               .lsu_tlu_async_tid_w2    (lsu_tlu_async_tid_w2[1:0]),
3265
               .async_tlb_index         (async_tlb_index[5:0]),
3266
               .l2fill_vld_m            (l2fill_vld_m),
3267
               .ld_thrd_byp_mxsel_m     (ld_thrd_byp_mxsel_m[3:0]),
3268
               .morphed_addr_m          (morphed_addr_m[7:0]),
3269
               .signed_ldst_byte_m      (signed_ldst_byte_m),
3270
               .signed_ldst_hw_m        (signed_ldst_hw_m),
3271
               .signed_ldst_w_m         (signed_ldst_w_m),
3272
               .lsu_tlb_asi_data_perr_g (lsu_tlb_asi_data_perr_g),
3273
               .lsu_tlb_asi_tag_perr_g  (lsu_tlb_asi_tag_perr_g),
3274
               .lsu_sscan_data          (lsu_sscan_data[14:13]), // Templated
3275
               .lsu_ld_inst_vld_g       (lsu_ld_inst_vld_g[3:0]),
3276
               .lsu_dcache_rand         (lsu_dcache_rand[1:0]),
3277
               .lsu_encd_way_hit        (lsu_encd_way_hit[1:0]),
3278
               .lsu_way_hit_or          (lsu_way_hit_or),
3279
               .lsu_memref_m            (lsu_memref_m),
3280
               .lsu_flsh_inst_m         (lsu_flsh_inst_m),
3281
               .lsu_ifu_asi_data_en_l   (lsu_ifu_asi_data_en_l),
3282
               .lsu_dcache_fill_addr_e  (lsu_dcache_fill_addr_e[10:3]),
3283
               .lsu_dcache_fill_addr_e_err(lsu_dcache_fill_addr_e_err[10:4]),
3284
               .lsu_thread_g            (lsu_thread_g[3:0]),
3285
               .lmq_ldd_vld             (lmq_ldd_vld),
3286
               .lsu_bist_rsel_way_e     (lsu_bist_rsel_way_e[3:0]),
3287
               .lsu_dcache_fill_way_e   (lsu_dcache_fill_way_e[3:0]),
3288
               .lmq_ld_addr_b3          (lmq_ld_addr_b3),
3289
               .lsu_outstanding_rmo_st_max(lsu_outstanding_rmo_st_max[3:0]),
3290
               .lsu_dcfill_data_mx_sel_e(lsu_dcfill_data_mx_sel_e),
3291
               // Inputs
3292
               .se                      (se),
3293
               .sehold                  (sehold),
3294
               .rst_tri_en              (mux_drive_disable),     // Templated
3295
               .rclk                    (clk),                   // Templated
3296
               .grst_l                  (grst_l),
3297
               .arst_l                  (arst_l),
3298
               .lsu_diag_va_prty_invrt  (lsu_diag_va_prty_invrt),
3299
               .dva_svld_e              (dva_svld_e),
3300
               .dva_snp_bit_wr_en_e     (dva_snp_bit_wr_en_e[15:0]),
3301
               .dva_snp_addr_e          (dva_snp_addr_e[4:0]),
3302
               .lsu_tte_data_cp_g       (tlb_rd_tte_data[`STLB_DATA_CP]), // Templated
3303
               .lsu_l2fill_vld          (lsu_l2fill_vld),
3304
               .ld_inst_vld_e           (ifu_lsu_ld_inst_e),     // Templated
3305
               .st_inst_vld_e           (ifu_lsu_st_inst_e),     // Templated
3306
               .ifu_lsu_ldst_fp_e       (ifu_lsu_ldst_fp_e),
3307
               .ldst_sz_e               (ifu_lsu_ldst_size_e[1:0]), // Templated
3308
               .lsu_ldst_va_b12_b11_m   (lsu_ldst_va_m[12:11]),  // Templated
3309
               .lsu_ldst_va_b7_b0_m     (lsu_ldst_va_m[7:0]),    // Templated
3310
               .ifu_lsu_rd_e            (ifu_lsu_rd_e[4:0]),
3311
               .tlb_cam_hit             (tlb_cam_hit),
3312
               .ifu_tlu_sraddr_d        (ifu_tlu_sraddr_d[6:0]),
3313
               .ifu_tlu_wsr_inst_d      (ifu_tlu_wsr_inst_d),
3314
               .ifu_lsu_alt_space_d     (ifu_lsu_alt_space_d),
3315
               .tlu_lsu_int_ldxa_vld_w2 (tlu_lsu_int_ldxa_vld_w2),
3316
               .tlu_lsu_int_ld_ill_va_w2(tlu_lsu_int_ld_ill_va_w2),
3317
               .tlu_lsu_ldxa_tid_w2     (tlu_lsu_ldxa_tid_w2[1:0]),
3318
               .ifu_lsu_ldxa_data_vld_w2(ifu_lsu_ldxa_data_vld_w2),
3319
               .ifu_lsu_ldxa_illgl_va_w2(ifu_lsu_ldxa_illgl_va_w2),
3320
               .ifu_lsu_ldxa_tid_w2     (ifu_lsu_ldxa_tid_w2[1:0]),
3321
               .ifu_lsu_asi_rd_unc      (ifu_lsu_asi_rd_unc),
3322
               .tlu_lsu_tl_zero         (tlu_lsu_tl_zero[3:0]),
3323
               .ifu_lsu_thrid_s         (ifu_lsu_thrid_s[1:0]),
3324
               .ifu_lsu_ldst_dbl_e      (ifu_lsu_ldst_dbl_e),
3325
               .ld_stb_full_raw_w2      (ld_stb_full_raw_w2),
3326
               .ld_sec_active           (ld_sec_active),
3327
               .ifu_tlu_inst_vld_m      (ifu_tlu_inst_vld_m_bf1), // Templated
3328
               .lsu_l2fill_bendian_m    (lsu_l2fill_bendian_m),
3329
               .lmq0_l2fill_fpld        (lmq0_l2fill_fpld),
3330
               .lmq1_l2fill_fpld        (lmq1_l2fill_fpld),
3331
               .lmq2_l2fill_fpld        (lmq2_l2fill_fpld),
3332
               .lmq3_l2fill_fpld        (lmq3_l2fill_fpld),
3333
               .cache_way_hit_buf1      (cache_way_hit_buf1[3:0]),
3334
               .cache_hit               (cache_hit),
3335
               .lmq0_byp_misc_sz        (lmq0_byp_misc_sz[1:0]),
3336
               .lmq1_byp_misc_sz        (lmq1_byp_misc_sz[1:0]),
3337
               .lmq2_byp_misc_sz        (lmq2_byp_misc_sz[1:0]),
3338
               .lmq3_byp_misc_sz        (lmq3_byp_misc_sz[1:0]),
3339
               .lsu_l2fill_sign_extend_m(lsu_l2fill_sign_extend_m),
3340
               .lsu_l1hit_sign_extend_e (ifu_lsu_sign_ext_e),    // Templated
3341
               .tlu_lsu_pstate_cle      (tlu_lsu_pstate_cle[3:0]),
3342
               .tlu_lsu_pstate_am       (tlu_lsu_pstate_am[3:0]),
3343
               .tlb_pgnum               ({tlb_pgnum_buf[39:10]}), // Templated
3344
               .tlb_demap_nctxt         (tlu_dtlb_dmp_nctxt_g),  // Templated
3345
               .tlb_demap_pctxt         (tlu_dtlb_dmp_pctxt_g),  // Templated
3346
               .tlb_demap_sctxt         (tlu_dtlb_dmp_sctxt_g),  // Templated
3347
               .tlb_demap_actxt         (tlu_dtlb_dmp_actxt_g),  // Templated
3348
               .tlb_demap_thrid         (tlu_idtlb_dmp_thrid_g[1:0]), // Templated
3349
               .ifu_lsu_casa_e          (ifu_lsu_casa_e),
3350
               .ifu_lsu_ldstub_e        (ifu_lsu_ldstub_e),
3351
               .ifu_lsu_swap_e          (ifu_lsu_swap_e),
3352
               .lsu_atm_st_cmplt_e      (lsu_atm_st_cmplt_e),
3353
               .lsu_cpx_pkt_atm_st_cmplt(lsu_cpx_pkt_atm_st_cmplt),
3354
               .spu_lsu_ldxa_data_vld_w2(spu_lsu_ldxa_data_vld_w2),
3355
               .spu_lsu_ldxa_illgl_va_w2(spu_lsu_ldxa_illgl_va_w2),
3356
               .spu_lsu_ldxa_tid_w2     (spu_lsu_ldxa_tid_w2[1:0]),
3357
               .spu_lsu_stxa_ack_tid    (spu_lsu_stxa_ack_tid[1:0]),
3358
               .spu_lsu_stxa_ack        (spu_lsu_stxa_ack),
3359
               .spu_lsu_unc_error_w2    (spu_lsu_unc_error_w2),
3360
               .spu_lsu_int_w2          (spu_lsu_int_w2),
3361
               .tlu_lsu_stxa_ack        (tlu_lsu_stxa_ack),
3362
               .tlu_lsu_stxa_ack_tid    (tlu_lsu_stxa_ack_tid[1:0]),
3363
               .lsu_tlb_invert_endian_g (tlb_rd_tte_data_ie_buf), // Templated
3364
               .lmq0_ncache_ld          (lmq0_ncache_ld),
3365
               .lmq1_ncache_ld          (lmq1_ncache_ld),
3366
               .lmq2_ncache_ld          (lmq2_ncache_ld),
3367
               .lmq3_ncache_ld          (lmq3_ncache_ld),
3368
               .ifu_tlu_mb_inst_e       (ifu_tlu_mb_inst_e),
3369
               .ifu_tlu_flsh_inst_e     (ifu_tlu_flsh_inst_e),
3370
               .lsu_stb_empty           (lsu_stb_empty[3:0]),
3371
               .tlu_dtlb_tag_rd_g       (tlu_dtlb_tag_rd_g),
3372
               .tlu_dtlb_data_rd_g      (tlu_dtlb_data_rd_g),
3373
               .tlu_dtlb_dmp_vld_g      (tlu_dtlb_dmp_vld_g),
3374
               .tlu_dtlb_dmp_all_g      (tlu_dtlb_dmp_all_g),
3375
               .tlu_dtlb_rw_index_vld_g (tlu_dtlb_rw_index_vld_g),
3376
               .tlu_dtlb_invalidate_all_g(tlu_dtlb_invalidate_all_g),
3377
               .lsu_st_wr_dcache        (lsu_st_wr_dcache),
3378
               .tlu_lsu_asi_update_m    (tlu_lsu_asi_update_m),
3379
               .tlu_lsu_tid_m           (tlu_lsu_tid_m[1:0]),
3380
               .lsu_rd_dtag_parity_g    (lsu_rd_dtag_parity_g[3:0]),
3381
               .dcache_rparity_err_wb   (dcache_rparity_err_wb),
3382
               .lsu_diagnstc_wr_data_b0 (lsu_diagnstc_wr_data_b0),
3383
               .lsu_byp_ldd_oddrd_m     (lsu_byp_ldd_oddrd_m),
3384
               .tlu_lsu_redmode         (tlu_lsu_redmode[3:0]),
3385
               .tlu_lsu_redmode_rst_d1  (tlu_lsu_redmode_rst_d1[3:0]),
3386
               .dva_vld_m               (dva_vld_m[3:0]),
3387
               .lsu_dfill_tid_e         (dfq_tid[1:0]),          // Templated
3388
               .ifu_lsu_asi_ack         (ifu_lsu_asi_ack),
3389
               .lsu_intrpt_cmplt        (lsu_intrpt_cmplt[3:0]),
3390
               .lsu_iobrdge_tap_rq_type_b8(lsu_iobrdge_tap_rq_type[8:8]), // Templated
3391
               .lsu_iobrdge_tap_rq_type_b6_b3(lsu_iobrdge_tap_rq_type[6:3]), // Templated
3392
               .lsu_iobrdge_tap_rq_type_b1_b0(lsu_iobrdge_tap_rq_type[1:0]), // Templated
3393
               .lsu_iobrdge_fwd_pkt_vld (lsu_iobrdge_fwd_pkt_vld),
3394
               .lsu_cpx_ld_dtag_perror_e(lsu_cpx_ld_dtag_perror_e),
3395
               .lsu_cpx_ld_dcache_perror_e(lsu_cpx_ld_dcache_perror_e),
3396
               .lsu_cpx_pkt_ld_err      (lsu_cpx_pkt_ld_err[1:0]),
3397
               .ifu_lsu_nceen           (ifu_lsu_nceen[3:0]),
3398
               .tlu_lsu_ldxa_async_data_vld(tlu_lsu_ldxa_async_data_vld),
3399
               .tlu_lsu_hpv_priv        (tlu_lsu_hpv_priv[3:0]),
3400
               .tlu_lsu_hpstate_en      (tlu_lsu_hpstate_en[3:0]),
3401
               .ifu_lsu_memref_d        (ifu_lsu_memref_d),
3402
               .ifu_lsu_pref_inst_e     (ifu_lsu_pref_inst_e),
3403
               .lsu_pref_pcx_req        (lsu_pref_pcx_req),
3404
               .lsu_cpx_pkt_prefetch2   (lsu_cpx_pkt_prefetch2),
3405
               .lsu_ld_pcx_rq_sel_d2    (lsu_ld_pcx_rq_sel_d2[3:0]),
3406
               .lsu_pcx_req_squash_d1   (lsu_pcx_req_squash_d1),
3407
               .lsu_bld_helper_cmplt_m  (lsu_bld_helper_cmplt_m),
3408
               .lsu_bld_cnt_m           (lsu_bld_cnt_m[2:0]),
3409
               .lsu_bld_reset           (lsu_bld_reset),
3410
               .ffu_lsu_blk_st_e        (ffu_lsu_blk_st_e),
3411
               .lsu_stb_rmo_st_issue    (lsu_stb_rmo_st_issue[3:0]),
3412
               .lsu_cpx_rmo_st_ack      (lsu_cpx_rmo_st_ack[3:0]),
3413
               .lsu_dfq_flsh_cmplt      (lsu_dfq_flsh_cmplt[3:0]),
3414
               .stb_cam_hit             (stb_cam_hit_bf1),       // Templated
3415
               .ifu_tlu_flush_m         (ifu_tlu_flush_m),
3416
               .ctu_sscan_tid           (ctu_sscan_tid[3:0]),
3417
               .tte_data_perror_unc     (tte_data_perror_unc),
3418
               .asi_tte_data_perror     (asi_tte_data_perror),
3419
               .asi_tte_tag_perror      (asi_tte_tag_perror),
3420
               .tlu_dtlb_rw_index_g     (tlu_dtlb_rw_index_g[5:0]),
3421
               .lsu_local_early_flush_g (lsu_local_early_flush_g),
3422
               .lsu_dfq_vld             (lsu_dfq_vld),
3423
               .gdbginit_l              (gdbginit_l),
3424
               .dc_direct_map           (dc_direct_map),
3425
               .asi_d                   (asi_d[7:0]),
3426
               .lsu_dctl_asi_state_m    (lsu_dctl_asi_state_m[7:0]),
3427
               .lsu_ldst_va_g           (lsu_ldst_va_g[7:0]),
3428
               .lsu_ifu_err_addr_b39    (lsu_ifu_err_addr[39]),  // Templated
3429
               .lsu_dp_ctl_reg0         (lsu_dp_ctl_reg0[5:0]),
3430
               .lsu_dp_ctl_reg1         (lsu_dp_ctl_reg1[5:0]),
3431
               .lsu_dp_ctl_reg2         (lsu_dp_ctl_reg2[5:0]),
3432
               .lsu_dp_ctl_reg3         (lsu_dp_ctl_reg3[5:0]),
3433
               .ldd_in_dfq_out          (ldd_in_dfq_out),
3434
               .dcache_iob_addr_e       (dcache_iob_addr_e[7:0]),
3435
               .mbist_dcache_index      (mbist_dcache_index[6:0]),
3436
               .mbist_dcache_word       (mbist_dcache_word),
3437
               .lsu_diagnstc_wr_addr_e  (lsu_diagnstc_wr_addr_e[10:0]),
3438
               .st_dcfill_addr          (st_dcfill_addr[10:0]),
3439
               .lsu_dfq_ld_vld          (lsu_dfq_ld_vld),
3440
               .lsu_dfq_st_vld          (lsu_dfq_st_vld),
3441
               .lmq0_ldd_vld            (lmq0_ldd_vld),
3442
               .lmq1_ldd_vld            (lmq1_ldd_vld),
3443
               .lmq2_ldd_vld            (lmq2_ldd_vld),
3444
               .lmq3_ldd_vld            (lmq3_ldd_vld),
3445
               .lsu_dfq_byp_tid         (lsu_dfq_byp_tid[1:0]),
3446
               .dfq_byp_ff_en           (dfq_byp_ff_en),
3447
               .lsu_dcache_iob_way_e    (lsu_dcache_iob_way_e[1:0]),
3448
               .mbist_dcache_way        (mbist_dcache_way[1:0]),
3449
               .lsu_diagnstc_wr_way_e   (lsu_diagnstc_wr_way_e[1:0]),
3450
               .lsu_st_way_e            (lsu_st_way_e[1:0]),
3451
               .lmq0_pcx_pkt_way        (lmq0_pcx_pkt_way[1:0]),
3452
               .lmq1_pcx_pkt_way        (lmq1_pcx_pkt_way[1:0]),
3453
               .lmq2_pcx_pkt_way        (lmq2_pcx_pkt_way[1:0]),
3454
               .lmq3_pcx_pkt_way        (lmq3_pcx_pkt_way[1:0]),
3455
               .lmq0_ld_rq_type         (lmq0_ld_rq_type[2:0]),
3456
               .lmq1_ld_rq_type         (lmq1_ld_rq_type[2:0]),
3457
               .lmq2_ld_rq_type         (lmq2_ld_rq_type[2:0]),
3458
               .lmq3_ld_rq_type         (lmq3_ld_rq_type[2:0]),
3459
               .lmq0_pcx_pkt_addr       (lmq0_pcx_pkt_addr[10:0]),
3460
               .lmq1_pcx_pkt_addr       (lmq1_pcx_pkt_addr[10:0]),
3461
               .lmq2_pcx_pkt_addr       (lmq2_pcx_pkt_addr[10:0]),
3462
               .lmq3_pcx_pkt_addr       (lmq3_pcx_pkt_addr[10:0]),
3463
               .lsu_ttype_vld_m2        (lsu_ttype_vld_m2_bf1),  // Templated
3464
               .tlu_early_flush_pipe2_w (tlu_early_flush_pipe2_w),
3465
               .lsu_st_dcfill_size_e    (lsu_st_dcfill_size_e[1:0]),
3466
               .mbist_dcache_write      (mbist_dcache_write),
3467
               .mbist_dcache_read       (mbist_dcache_read));
3468
`endif // !`ifdef FPGA_SYN_1THREAD
3469
 
3470
/*lsu_dcdp AUTO_TEMPLATE (
3471
           .dcache_alt_mx_sel_e  (dcache_alt_mx_sel_e_bf),
3472
           .rst_tri_en           (mux_drive_disable),
3473
           .rclk                 (clk));
3474
*/
3475
 
3476
lsu_dcdp dcdp (
3477
               .so                      (scan0_2),
3478
               .si                      (scan0_1),
3479
                /*AUTOINST*/
3480
               // Outputs
3481
               .dcache_rdata_wb_buf     (dcache_rdata_wb_buf[63:0]),
3482
               .mbist_dcache_data_in    (mbist_dcache_data_in[71:0]),
3483
               .lsu_exu_dfill_data_w2   (lsu_exu_dfill_data_w2[63:0]),
3484
               .lsu_ffu_ld_data         (lsu_ffu_ld_data[63:0]),
3485
               .stb_rdata_ramc_buf      (stb_rdata_ramc_buf[14:9]),
3486
               // Inputs
3487
               .rclk                    (clk),                   // Templated
3488
               .se                      (se),
3489
               .rst_tri_en              (mux_drive_disable),     // Templated
3490
               .dcache_rdata_wb         (dcache_rdata_wb[63:0]),
3491
               .dcache_rparity_wb       (dcache_rparity_wb[7:0]),
3492
               .dcache_rdata_msb_w0_m   (dcache_rdata_msb_w0_m[7:0]),
3493
               .dcache_rdata_msb_w1_m   (dcache_rdata_msb_w1_m[7:0]),
3494
               .dcache_rdata_msb_w2_m   (dcache_rdata_msb_w2_m[7:0]),
3495
               .dcache_rdata_msb_w3_m   (dcache_rdata_msb_w3_m[7:0]),
3496
               .lsu_bist_rsel_way_e     (lsu_bist_rsel_way_e[3:0]),
3497
               .dcache_alt_mx_sel_e     (dcache_alt_mx_sel_e_bf), // Templated
3498
               .cache_way_hit_buf2      (cache_way_hit_buf2[3:0]),
3499
               .morphed_addr_m          (morphed_addr_m[7:0]),
3500
               .signed_ldst_byte_m      (signed_ldst_byte_m),
3501
               .signed_ldst_hw_m        (signed_ldst_hw_m),
3502
               .signed_ldst_w_m         (signed_ldst_w_m),
3503
               .merge7_sel_byte0_m      (merge7_sel_byte0_m),
3504
               .merge7_sel_byte7_m      (merge7_sel_byte7_m),
3505
               .merge6_sel_byte1_m      (merge6_sel_byte1_m),
3506
               .merge6_sel_byte6_m      (merge6_sel_byte6_m),
3507
               .merge5_sel_byte2_m      (merge5_sel_byte2_m),
3508
               .merge5_sel_byte5_m      (merge5_sel_byte5_m),
3509
               .merge4_sel_byte3_m      (merge4_sel_byte3_m),
3510
               .merge4_sel_byte4_m      (merge4_sel_byte4_m),
3511
               .merge3_sel_byte0_m      (merge3_sel_byte0_m),
3512
               .merge3_sel_byte3_m      (merge3_sel_byte3_m),
3513
               .merge3_sel_byte4_m      (merge3_sel_byte4_m),
3514
               .merge3_sel_byte7_default_m(merge3_sel_byte7_default_m),
3515
               .merge3_sel_byte_m       (merge3_sel_byte_m),
3516
               .merge2_sel_byte1_m      (merge2_sel_byte1_m),
3517
               .merge2_sel_byte2_m      (merge2_sel_byte2_m),
3518
               .merge2_sel_byte5_m      (merge2_sel_byte5_m),
3519
               .merge2_sel_byte6_default_m(merge2_sel_byte6_default_m),
3520
               .merge2_sel_byte_m       (merge2_sel_byte_m),
3521
               .merge0_sel_byte0_m      (merge0_sel_byte0_m),
3522
               .merge0_sel_byte1_m      (merge0_sel_byte1_m),
3523
               .merge0_sel_byte2_m      (merge0_sel_byte2_m),
3524
               .merge0_sel_byte3_default_m(merge0_sel_byte3_default_m),
3525
               .merge0_sel_byte4_m      (merge0_sel_byte4_m),
3526
               .merge0_sel_byte5_m      (merge0_sel_byte5_m),
3527
               .merge0_sel_byte6_m      (merge0_sel_byte6_m),
3528
               .merge0_sel_byte7_default_m(merge0_sel_byte7_default_m),
3529
               .merge1_sel_byte0_m      (merge1_sel_byte0_m),
3530
               .merge1_sel_byte1_m      (merge1_sel_byte1_m),
3531
               .merge1_sel_byte2_m      (merge1_sel_byte2_m),
3532
               .merge1_sel_byte3_default_m(merge1_sel_byte3_default_m),
3533
               .merge1_sel_byte4_m      (merge1_sel_byte4_m),
3534
               .merge1_sel_byte5_m      (merge1_sel_byte5_m),
3535
               .merge1_sel_byte6_m      (merge1_sel_byte6_m),
3536
               .merge1_sel_byte7_default_m(merge1_sel_byte7_default_m),
3537
               .merge0_sel_byte_1h_m    (merge0_sel_byte_1h_m),
3538
               .merge1_sel_byte_1h_m    (merge1_sel_byte_1h_m),
3539
               .merge1_sel_byte_2h_m    (merge1_sel_byte_2h_m),
3540
               .stb_rdata_ramc          (stb_rdata_ramc[14:9]));
3541
 
3542
/*
3543
bw_r_tlb  AUTO_TEMPLATE (
3544
                 .rst_tri_en            (mem_write_disable),
3545
                 .rclk                  (clk),
3546
                 .adj                   (lsu_dtlb_mrgn[7:0]),
3547
                 .cache_set_vld         (dva_vld_m[3:0]),
3548
                 .grst_l                (1'b1), // hard reset not to be used
3549
                 .rst_soft_l            (lsu_dtlb_invalid_all_l_m),
3550
                 .hold                  (sehold),
3551
                 .tlb_addr_mask_l       (lsu_dtlb_addr_mask_l_e),
3552
                 .tlb_bypass            (lsu_dtlb_bypass_e),
3553
                 .tlb_bypass_va         (exu_lsu_ldst_va_e[12:10]),
3554
                 .tlb_cam_pid           (lsu_dtlb_cam_pid_e[2:0]),
3555
                 //.tlb_cam_real          (lsu_dtlb_cam_real_e),
3556
                 .tlb_cam_vld           (tlb_ldst_cam_vld),
3557
                 .tlb_demap             (lsu_dtlb_dmp_vld_e),
3558
                 .tlb_demap_all         (lsu_dtlb_dmp_all_e),
3559
                 .tlb_demap_auto        (tlu_dtlb_dmp_actxt_g),
3560
                 //.tlb_demap_ctxt        (tlu_dtlb_dmp_by_ctxt_g),
3561
                 .tlb_demap_key         (tlu_idtlb_dmp_key_g[40:0]),
3562
                 .tlb_rd_data_vld       (lsu_dtlb_data_rd_e),
3563
                 .tlb_rd_tag_vld        (lsu_dtlb_tag_rd_e),
3564
                 .tlb_rw_index          (tlu_dtlb_rw_index_g[5:0]),
3565
                 .tlb_rw_index_vld      (lsu_dtlb_rwindex_vld_e),
3566
                 .tlb_wr_tte_data       (tlu_dtlb_tte_data_w2[42:0]),
3567
                 .tlb_wr_tte_tag        (tlu_dtlb_tte_tag_w2[58:0]),
3568
                 .tlb_wr_vld            (lsu_dtlb_wr_vld_e),
3569
                 .cache_ptag_w0   ({dtag_rdata_w0_m[28:0], lsu_ldst_va_m[10]}),
3570
                 .cache_ptag_w1   ({dtag_rdata_w1_m[28:0], lsu_ldst_va_m[10]}),
3571
                 .cache_ptag_w2   ({dtag_rdata_w2_m[28:0], lsu_ldst_va_m[10]}),
3572
                 .cache_ptag_w3   ({dtag_rdata_w3_m[28:0], lsu_ldst_va_m[10]}));
3573
*/
3574
 
3575 8 dmitryr
bw_r_tlb_fpga dtlb  (
3576 2 dmitryr
                .so                     (short_scan0_4),
3577
                .si                     (short_scan0_3),
3578
          .tlb_cam_key   ( {exu_lsu_ldst_va_e[47:28], 1'b1,
3579
                            exu_lsu_ldst_va_e[27:22], 1'b1,
3580
                                              exu_lsu_ldst_va_e[21:16], 1'b1,
3581
                            exu_lsu_ldst_va_e[15:13], 1'b1,
3582
                            //1'b1,
3583
                                              lsu_dtlb_cam_real_e,
3584
                                              lsu_dtlb_cam_real_e}
3585
                          ),
3586
 
3587
                 /*AUTOINST*/
3588
                // Outputs
3589
                .tlb_rd_tte_tag         (tlb_rd_tte_tag[58:0]),
3590
                .tlb_rd_tte_data        (tlb_rd_tte_data[42:0]),
3591
                .tlb_pgnum              (tlb_pgnum[39:10]),
3592
                .tlb_pgnum_crit         (tlb_pgnum_crit[39:10]),
3593
                .tlb_cam_hit            (tlb_cam_hit),
3594
                .cache_way_hit          (cache_way_hit[3:0]),
3595
                .cache_hit              (cache_hit),
3596
                // Inputs
3597
                .tlb_cam_vld            (tlb_ldst_cam_vld),      // Templated
3598
                .tlb_cam_pid            (lsu_dtlb_cam_pid_e[2:0]), // Templated
3599
                .tlb_demap_key          (tlu_idtlb_dmp_key_g[40:0]), // Templated
3600
                .tlb_addr_mask_l        (lsu_dtlb_addr_mask_l_e), // Templated
3601
                .tlb_ctxt               (tlb_ctxt[12:0]),
3602
                .tlb_wr_vld             (lsu_dtlb_wr_vld_e),     // Templated
3603
                .tlb_wr_tte_tag         (tlu_dtlb_tte_tag_w2[58:0]), // Templated
3604
                .tlb_wr_tte_data        (tlu_dtlb_tte_data_w2[42:0]), // Templated
3605
                .tlb_rd_tag_vld         (lsu_dtlb_tag_rd_e),     // Templated
3606
                .tlb_rd_data_vld        (lsu_dtlb_data_rd_e),    // Templated
3607
                .tlb_rw_index           (tlu_dtlb_rw_index_g[5:0]), // Templated
3608
                .tlb_rw_index_vld       (lsu_dtlb_rwindex_vld_e), // Templated
3609
                .tlb_demap              (lsu_dtlb_dmp_vld_e),    // Templated
3610
                .tlb_demap_auto         (tlu_dtlb_dmp_actxt_g),  // Templated
3611
                .tlb_demap_all          (lsu_dtlb_dmp_all_e),    // Templated
3612
                .cache_ptag_w0          ({dtag_rdata_w0_m[28:0], lsu_ldst_va_m[10]}), // Templated
3613
                .cache_ptag_w1          ({dtag_rdata_w1_m[28:0], lsu_ldst_va_m[10]}), // Templated
3614
                .cache_ptag_w2          ({dtag_rdata_w2_m[28:0], lsu_ldst_va_m[10]}), // Templated
3615
                .cache_ptag_w3          ({dtag_rdata_w3_m[28:0], lsu_ldst_va_m[10]}), // Templated
3616
                .cache_set_vld          (dva_vld_m[3:0]),        // Templated
3617
                .tlb_bypass_va          (exu_lsu_ldst_va_e[12:10]), // Templated
3618
                .tlb_bypass             (lsu_dtlb_bypass_e),     // Templated
3619
                .se                     (se),
3620
                .hold                   (sehold),                // Templated
3621
                .adj                    (lsu_dtlb_mrgn[7:0]),    // Templated
3622
                .arst_l                 (arst_l),
3623
                .rst_soft_l             (lsu_dtlb_invalid_all_l_m), // Templated
3624
                .rclk                   (clk),                   // Templated
3625
                .rst_tri_en             (mem_write_disable));     // Templated
3626
/*
3627
lsu_stb_rwctl  AUTO_TEMPLATE  (
3628
                         .rst_tri_en              (mux_drive_disable),
3629
                         .rclk             (clk),
3630
                         .stb_cam_hit      (stb_cam_hit_bf1),
3631
                                           .lsu_st_ack_rq_stb(4'b0000),
3632
                         .ffu_lsu_blk_st_tid_m (ffu_lsu_data[77:76]),
3633
                         .ld_inst_vld_e (ifu_lsu_ld_inst_e),
3634
                         .ldst_sz_e     (ifu_lsu_ldst_size_e[1:0]),
3635
                         .st_inst_vld_e (ifu_lsu_st_inst_e),
3636
                         .stb_rdata_ramc_b8t0 (stb_rdata_ramc[8:0]),
3637
                         .tlb_pgnum_b39_g(tlb_pgnum_buf[39]));
3638
*/
3639
`ifdef FPGA_SYN_1THREAD
3640
 
3641
lsu_stb_rwctl stb_rwctl (
3642
                         .so            (short_scan1_4),
3643
                         .si            (short_scan1_3),
3644
                         .stb_wdata_ramd_b75_b64(stb_wdata_ramd_b75_b64[75:64]),
3645
                                   .stb_ldst_byte_msk_min       (stb_ldst_byte_msk_min[7:0]),
3646
                         /*AUTOINST*/
3647
                         // Outputs
3648
                         .lsu_stbctl_flush_pipe_w(lsu_stbctl_flush_pipe_w),
3649
                         .stb_cam_wr_no_ivld_m(stb_cam_wr_no_ivld_m),
3650
                         .ld_rawp_st_ced_w2(ld_rawp_st_ced_w2),
3651
                         .stb_data_wr_ptr(stb_data_wr_ptr[4:0]),
3652
                         .stb_data_wptr_vld(stb_data_wptr_vld),
3653
                         .stb_data_rd_ptr(stb_data_rd_ptr[4:0]),
3654
                         .stb_data_rptr_vld(stb_data_rptr_vld),
3655
                         .stb_cam_cm_tid(stb_cam_cm_tid[1:0]),
3656
                         .stb_ldst_byte_msk(stb_ldst_byte_msk[7:0]),
3657
                         .stb_cam_rw_ptr(stb_cam_rw_ptr[4:0]),
3658
                         .stb_cam_wptr_vld(stb_cam_wptr_vld),
3659
                         .stb_cam_rptr_vld(stb_cam_rptr_vld),
3660
                         .lsu_st_sz_bhww_m(lsu_st_sz_bhww_m),
3661
                         .lsu_st_sz_dw_m(lsu_st_sz_dw_m),
3662
                         .lsu_st_sz_bhw_m(lsu_st_sz_bhw_m),
3663
                         .lsu_st_sz_wdw_m(lsu_st_sz_wdw_m),
3664
                         .lsu_st_sz_b_m (lsu_st_sz_b_m),
3665
                         .lsu_st_sz_w_m (lsu_st_sz_w_m),
3666
                         .lsu_st_sz_hw_m(lsu_st_sz_hw_m),
3667
                         .lsu_st_sz_hww_m(lsu_st_sz_hww_m),
3668
                         .ld_rawp_st_ackid_w2(ld_rawp_st_ackid_w2[2:0]),
3669
                         .stb_flush_st_g(stb_flush_st_g[3:0]),
3670
                         .stb_cam_wvld_m(stb_cam_wvld_m[3:0]),
3671
                         .lsu_st_rq_type_m(lsu_st_rq_type_m[2:1]),
3672
                         .lsu_stb_data_early_sel_e(lsu_stb_data_early_sel_e[3:0]),
3673
                         .lsu_stb_data_final_sel_m(lsu_stb_data_final_sel_m),
3674
                         .lsu_ldquad_inst_m(lsu_ldquad_inst_m),
3675
                         .stb_thrd_en_g (stb_thrd_en_g[3:0]),
3676
                         .flsh_inst_m   (flsh_inst_m),
3677
                         .lsu_stb_va_m  (lsu_stb_va_m[9:3]),
3678
                         .lsu_stb_empty_buf(lsu_stb_empty_buf[3:0]),
3679
                         .lsu_spu_stb_empty(lsu_spu_stb_empty[3:0]),
3680
                         .ifu_tlu_inst_vld_m_bf1(ifu_tlu_inst_vld_m_bf1),
3681
                         .ifu_tlu_inst_vld_m_bf2(ifu_tlu_inst_vld_m_bf2),
3682
                         .lsu_ifu_stbcnt0(lsu_ifu_stbcnt0[3:0]),
3683
                         .lsu_ifu_stbcnt1(lsu_ifu_stbcnt1[3:0]),
3684
                         .lsu_ifu_stbcnt2(lsu_ifu_stbcnt2[3:0]),
3685
                         .lsu_ifu_stbcnt3(lsu_ifu_stbcnt3[3:0]),
3686
                         .lsu_ffu_stb_full0(lsu_ffu_stb_full0),
3687
                         .lsu_ffu_stb_full1(lsu_ffu_stb_full1),
3688
                         .lsu_ffu_stb_full2(lsu_ffu_stb_full2),
3689
                         .lsu_ffu_stb_full3(lsu_ffu_stb_full3),
3690
                         // Inputs
3691
                         .rclk          (clk),                   // Templated
3692
                         .rst_tri_en    (mux_drive_disable),     // Templated
3693
                         .se            (se),
3694
                         .ld_inst_vld_e (ifu_lsu_ld_inst_e),     // Templated
3695
                         .ldst_sz_e     (ifu_lsu_ldst_size_e[1:0]), // Templated
3696
                         .st_inst_vld_e (ifu_lsu_st_inst_e),     // Templated
3697
                         .stb_pcx_rptr0 (stb_pcx_rptr0[2:0]),
3698
                         .stb_wrptr0    (stb_wrptr0[2:0]),
3699
                         .stb_pcx_rptr1 (stb_pcx_rptr1[2:0]),
3700
                         .stb_wrptr1    (stb_wrptr1[2:0]),
3701
                         .stb_pcx_rptr2 (stb_pcx_rptr2[2:0]),
3702
                         .stb_wrptr2    (stb_wrptr2[2:0]),
3703
                         .stb_pcx_rptr3 (stb_pcx_rptr3[2:0]),
3704
                         .stb_wrptr3    (stb_wrptr3[2:0]),
3705
                         .stb_cam_hit_ptr(stb_cam_hit_ptr[2:0]),
3706
                         .stb_cam_hit   (stb_cam_hit_bf1),       // Templated
3707
                         .lsu_ldst_va_m (lsu_ldst_va_m[9:0]),
3708
                         .sta_internal_m(sta_internal_m),
3709
                         .ifu_tlu_thrid_e(ifu_tlu_thrid_e[1:0]),
3710
                         .tlu_exu_early_flush_pipe_w(tlu_exu_early_flush_pipe_w),
3711
                         .lsu_ttype_vld_m2(lsu_ttype_vld_m2),
3712
                         .ifu_lsu_flush_w(ifu_lsu_flush_w),
3713
                         .lsu_defr_trp_taken_g(lsu_defr_trp_taken_g),
3714
                         .ifu_lsu_casa_e(ifu_lsu_casa_e),
3715
                         .ifu_lsu_ldstub_e(ifu_lsu_ldstub_e),
3716
                         .ifu_lsu_swap_e(ifu_lsu_swap_e),
3717
                         .ifu_lsu_ldst_dbl_e(ifu_lsu_ldst_dbl_e),
3718
                         .stb_state_ced0(stb_state_ced0[7:0]),
3719
                         .stb_state_ced1(stb_state_ced1[7:0]),
3720
                         .stb_state_ced2(stb_state_ced2[7:0]),
3721
                         .stb_state_ced3(stb_state_ced3[7:0]),
3722
                         .stb_ld_full_raw(stb_ld_full_raw[7:0]),
3723
                         .stb_ld_partial_raw(stb_ld_partial_raw[7:0]),
3724
                         .stb_wrptr0_prev(stb_wrptr0_prev[2:0]),
3725
                         .stb_wrptr1_prev(stb_wrptr1_prev[2:0]),
3726
                         .stb_wrptr2_prev(stb_wrptr2_prev[2:0]),
3727
                         .stb_wrptr3_prev(stb_wrptr3_prev[2:0]),
3728
                         .ifu_lsu_alt_space_e(ifu_lsu_alt_space_e),
3729
                         .ifu_lsu_ldst_fp_e(ifu_lsu_ldst_fp_e),
3730
                         .lsu_quad_asi_e(lsu_quad_asi_e),
3731
                         .lsu_st_rmo_m  (lsu_st_rmo_m),
3732
                         .lsu_bst_in_pipe_m(lsu_bst_in_pipe_m),
3733
                         .ffu_lsu_kill_fst_w(ffu_lsu_kill_fst_w),
3734
                         .ffu_lsu_blk_st_e(ffu_lsu_blk_st_e),
3735
                         .ffu_lsu_blk_st_tid_m(ffu_lsu_data[77:76]), // Templated
3736
                         .ffu_lsu_blk_st_va_e(ffu_lsu_blk_st_va_e[5:3]),
3737
                         .lsu_snap_blk_st_m(lsu_snap_blk_st_m),
3738
                         .tlb_pgnum_b39_g(tlb_pgnum_buf[39]),    // Templated
3739
                         .lsu_stb_empty ({3'b000, lsu_stb_empty[0]}),
3740
                         .ifu_tlu_flsh_inst_e(ifu_tlu_flsh_inst_e),
3741
                         .stb_cam_mhit  (stb_cam_mhit),
3742
                         .ifu_tlu_inst_vld_m(ifu_tlu_inst_vld_m),
3743
                         .lsu_st_pcx_rq_pick(lsu_st_pcx_rq_pick[3:0]),
3744
                         .lsu_st_pcx_rq_vld(lsu_st_pcx_rq_vld),
3745
                         .stb_rdata_ramc_b8t0(stb_rdata_ramc[8:0]), // Templated
3746
                         .lsu_stbcnt0   (lsu_stbcnt0[3:0]),
3747
                         .lsu_stbcnt1   (lsu_stbcnt1[3:0]),
3748
                         .lsu_stbcnt2   (lsu_stbcnt2[3:0]),
3749
                         .lsu_stbcnt3   (lsu_stbcnt3[3:0]));
3750
`else
3751
 
3752
lsu_stb_rwctl stb_rwctl (
3753
                         .so            (short_scan1_4),
3754
                         .si            (short_scan1_3),
3755
                         .stb_wdata_ramd_b75_b64(stb_wdata_ramd_b75_b64[75:64]),
3756
                                   .stb_ldst_byte_msk_min       (stb_ldst_byte_msk_min[7:0]),
3757
                         /*AUTOINST*/
3758
                         // Outputs
3759
                         .lsu_stbctl_flush_pipe_w(lsu_stbctl_flush_pipe_w),
3760
                         .stb_cam_wr_no_ivld_m(stb_cam_wr_no_ivld_m),
3761
                         .ld_rawp_st_ced_w2(ld_rawp_st_ced_w2),
3762
                         .stb_data_wr_ptr(stb_data_wr_ptr[4:0]),
3763
                         .stb_data_wptr_vld(stb_data_wptr_vld),
3764
                         .stb_data_rd_ptr(stb_data_rd_ptr[4:0]),
3765
                         .stb_data_rptr_vld(stb_data_rptr_vld),
3766
                         .stb_cam_cm_tid(stb_cam_cm_tid[1:0]),
3767
                         .stb_ldst_byte_msk(stb_ldst_byte_msk[7:0]),
3768
                         .stb_cam_rw_ptr(stb_cam_rw_ptr[4:0]),
3769
                         .stb_cam_wptr_vld(stb_cam_wptr_vld),
3770
                         .stb_cam_rptr_vld(stb_cam_rptr_vld),
3771
                         .lsu_st_sz_bhww_m(lsu_st_sz_bhww_m),
3772
                         .lsu_st_sz_dw_m(lsu_st_sz_dw_m),
3773
                         .lsu_st_sz_bhw_m(lsu_st_sz_bhw_m),
3774
                         .lsu_st_sz_wdw_m(lsu_st_sz_wdw_m),
3775
                         .lsu_st_sz_b_m (lsu_st_sz_b_m),
3776
                         .lsu_st_sz_w_m (lsu_st_sz_w_m),
3777
                         .lsu_st_sz_hw_m(lsu_st_sz_hw_m),
3778
                         .lsu_st_sz_hww_m(lsu_st_sz_hww_m),
3779
                         .ld_rawp_st_ackid_w2(ld_rawp_st_ackid_w2[2:0]),
3780
                         .stb_flush_st_g(stb_flush_st_g[3:0]),
3781
                         .stb_cam_wvld_m(stb_cam_wvld_m[3:0]),
3782
                         .lsu_st_rq_type_m(lsu_st_rq_type_m[2:1]),
3783
                         .lsu_stb_data_early_sel_e(lsu_stb_data_early_sel_e[3:0]),
3784
                         .lsu_stb_data_final_sel_m(lsu_stb_data_final_sel_m),
3785
                         .lsu_ldquad_inst_m(lsu_ldquad_inst_m),
3786
                         .stb_thrd_en_g (stb_thrd_en_g[3:0]),
3787
                         .flsh_inst_m   (flsh_inst_m),
3788
                         .lsu_stb_va_m  (lsu_stb_va_m[9:3]),
3789
                         .lsu_stb_empty_buf(lsu_stb_empty_buf[3:0]),
3790
                         .lsu_spu_stb_empty(lsu_spu_stb_empty[3:0]),
3791
                         .ifu_tlu_inst_vld_m_bf1(ifu_tlu_inst_vld_m_bf1),
3792
                         .ifu_tlu_inst_vld_m_bf2(ifu_tlu_inst_vld_m_bf2),
3793
                         .lsu_ifu_stbcnt0(lsu_ifu_stbcnt0[3:0]),
3794
                         .lsu_ifu_stbcnt1(lsu_ifu_stbcnt1[3:0]),
3795
                         .lsu_ifu_stbcnt2(lsu_ifu_stbcnt2[3:0]),
3796
                         .lsu_ifu_stbcnt3(lsu_ifu_stbcnt3[3:0]),
3797
                         .lsu_ffu_stb_full0(lsu_ffu_stb_full0),
3798
                         .lsu_ffu_stb_full1(lsu_ffu_stb_full1),
3799
                         .lsu_ffu_stb_full2(lsu_ffu_stb_full2),
3800
                         .lsu_ffu_stb_full3(lsu_ffu_stb_full3),
3801
                         // Inputs
3802
                         .rclk          (clk),                   // Templated
3803
                         .rst_tri_en    (mux_drive_disable),     // Templated
3804
                         .se            (se),
3805
                         .ld_inst_vld_e (ifu_lsu_ld_inst_e),     // Templated
3806
                         .ldst_sz_e     (ifu_lsu_ldst_size_e[1:0]), // Templated
3807
                         .st_inst_vld_e (ifu_lsu_st_inst_e),     // Templated
3808
                         .stb_pcx_rptr0 (stb_pcx_rptr0[2:0]),
3809
                         .stb_wrptr0    (stb_wrptr0[2:0]),
3810
                         .stb_pcx_rptr1 (stb_pcx_rptr1[2:0]),
3811
                         .stb_wrptr1    (stb_wrptr1[2:0]),
3812
                         .stb_pcx_rptr2 (stb_pcx_rptr2[2:0]),
3813
                         .stb_wrptr2    (stb_wrptr2[2:0]),
3814
                         .stb_pcx_rptr3 (stb_pcx_rptr3[2:0]),
3815
                         .stb_wrptr3    (stb_wrptr3[2:0]),
3816
                         .stb_cam_hit_ptr(stb_cam_hit_ptr[2:0]),
3817
                         .stb_cam_hit   (stb_cam_hit_bf1),       // Templated
3818
                         .lsu_ldst_va_m (lsu_ldst_va_m[9:0]),
3819
                         .sta_internal_m(sta_internal_m),
3820
                         .ifu_tlu_thrid_e(ifu_tlu_thrid_e[1:0]),
3821
                         .tlu_exu_early_flush_pipe_w(tlu_exu_early_flush_pipe_w),
3822
                         .lsu_ttype_vld_m2(lsu_ttype_vld_m2),
3823
                         .ifu_lsu_flush_w(ifu_lsu_flush_w),
3824
                         .lsu_defr_trp_taken_g(lsu_defr_trp_taken_g),
3825
                         .ifu_lsu_casa_e(ifu_lsu_casa_e),
3826
                         .ifu_lsu_ldstub_e(ifu_lsu_ldstub_e),
3827
                         .ifu_lsu_swap_e(ifu_lsu_swap_e),
3828
                         .ifu_lsu_ldst_dbl_e(ifu_lsu_ldst_dbl_e),
3829
                         .stb_state_ced0(stb_state_ced0[7:0]),
3830
                         .stb_state_ced1(stb_state_ced1[7:0]),
3831
                         .stb_state_ced2(stb_state_ced2[7:0]),
3832
                         .stb_state_ced3(stb_state_ced3[7:0]),
3833
                         .stb_ld_full_raw(stb_ld_full_raw[7:0]),
3834
                         .stb_ld_partial_raw(stb_ld_partial_raw[7:0]),
3835
                         .stb_wrptr0_prev(stb_wrptr0_prev[2:0]),
3836
                         .stb_wrptr1_prev(stb_wrptr1_prev[2:0]),
3837
                         .stb_wrptr2_prev(stb_wrptr2_prev[2:0]),
3838
                         .stb_wrptr3_prev(stb_wrptr3_prev[2:0]),
3839
                         .ifu_lsu_alt_space_e(ifu_lsu_alt_space_e),
3840
                         .ifu_lsu_ldst_fp_e(ifu_lsu_ldst_fp_e),
3841
                         .lsu_quad_asi_e(lsu_quad_asi_e),
3842
                         .lsu_st_rmo_m  (lsu_st_rmo_m),
3843
                         .lsu_bst_in_pipe_m(lsu_bst_in_pipe_m),
3844
                         .ffu_lsu_kill_fst_w(ffu_lsu_kill_fst_w),
3845
                         .ffu_lsu_blk_st_e(ffu_lsu_blk_st_e),
3846
                         .ffu_lsu_blk_st_tid_m(ffu_lsu_data[77:76]), // Templated
3847
                         .ffu_lsu_blk_st_va_e(ffu_lsu_blk_st_va_e[5:3]),
3848
                         .lsu_snap_blk_st_m(lsu_snap_blk_st_m),
3849
                         .tlb_pgnum_b39_g(tlb_pgnum_buf[39]),    // Templated
3850
                         .lsu_stb_empty (lsu_stb_empty[3:0]),
3851
                         .ifu_tlu_flsh_inst_e(ifu_tlu_flsh_inst_e),
3852
                         .stb_cam_mhit  (stb_cam_mhit),
3853
                         .ifu_tlu_inst_vld_m(ifu_tlu_inst_vld_m),
3854
                         .lsu_st_pcx_rq_pick(lsu_st_pcx_rq_pick[3:0]),
3855
                         .lsu_st_pcx_rq_vld(lsu_st_pcx_rq_vld),
3856
                         .stb_rdata_ramc_b8t0(stb_rdata_ramc[8:0]), // Templated
3857
                         .lsu_stbcnt0   (lsu_stbcnt0[3:0]),
3858
                         .lsu_stbcnt1   (lsu_stbcnt1[3:0]),
3859
                         .lsu_stbcnt2   (lsu_stbcnt2[3:0]),
3860
                         .lsu_stbcnt3   (lsu_stbcnt3[3:0]));
3861
`endif // !`ifdef FPGA_SYN_1THREAD
3862
 
3863
/*
3864
lsu_stb_rwdp AUTO_TEMPLATE (
3865
      .rst_tri_en           (mux_drive_disable),
3866
      .stb_rdata_ramd_b74     (stb_rdata_ramd[74]),
3867
                        .rclk   (clk));
3868
*/
3869
 
3870
lsu_stb_rwdp  stb_rwdp  (
3871
                         .so            (so0),
3872
                         .si            (scan0_2),
3873
                         .lsu_stb_st_data_g(lsu_stb_st_data_g[63:0]),
3874
                         /*AUTOINST*/
3875
                         // Outputs
3876
                         .stb_rdata_ramd_buf(stb_rdata_ramd_buf[69:0]),
3877
                         .stb_rdata_ramd_b74_buf(stb_rdata_ramd_b74_buf),
3878
                         // Inputs
3879
                         .rclk          (clk),                   // Templated
3880
                         .se            (se),
3881
                         .rst_tri_en    (mux_drive_disable),     // Templated
3882
                         .exu_lsu_rs3_data_e(exu_lsu_rs3_data_e[63:0]),
3883
                         .lsu_stb_data_early_sel_e(lsu_stb_data_early_sel_e[3:0]),
3884
                         .lsu_stb_data_final_sel_m(lsu_stb_data_final_sel_m),
3885
                         .exu_lsu_rs2_data_e(exu_lsu_rs2_data_e[63:0]),
3886
                         .lsu_st_sz_bhww_m(lsu_st_sz_bhww_m),
3887
                         .lsu_st_sz_dw_m(lsu_st_sz_dw_m),
3888
                         .lsu_st_sz_bhw_m(lsu_st_sz_bhw_m),
3889
                         .lsu_st_sz_wdw_m(lsu_st_sz_wdw_m),
3890
                         .lsu_st_sz_b_m (lsu_st_sz_b_m),
3891
                         .lsu_st_sz_w_m (lsu_st_sz_w_m),
3892
                         .lsu_st_sz_hw_m(lsu_st_sz_hw_m),
3893
                         .lsu_st_sz_hww_m(lsu_st_sz_hww_m),
3894
                         .ffu_lsu_data  (ffu_lsu_data[63:0]),
3895
                         .lsu_st_hw_le_g(lsu_st_hw_le_g),
3896
                         .lsu_st_w_or_dbl_le_g(lsu_st_w_or_dbl_le_g),
3897
                         .lsu_st_x_le_g (lsu_st_x_le_g),
3898
                         .lsu_swap_sel_default_g(lsu_swap_sel_default_g),
3899
                         .lsu_swap_sel_default_byte_7_2_g(lsu_swap_sel_default_byte_7_2_g),
3900
                         .stb_rdata_ramd(stb_rdata_ramd[69:0]),
3901
                         .stb_rdata_ramd_b74(stb_rdata_ramd[74])); // Templated
3902
/*
3903
bw_r_scm  AUTO_TEMPLATE (
3904
                         .rst_tri_en           (mem_write_disable),
3905
                         .rclk            (clk),
3906
                         .stb_quad_ld_cam (lsu_ldquad_inst_m),
3907
                         .stb_alt_wr_data (lsu_blkst_pgnum_m[39:10]),
3908
                         .stb_alt_wsel (lsu_blk_st_m),
3909
                         .stb_cam_data  (tlb_pgnum_crit[39:10]),
3910
                         .stb_cam_rw_tid(stb_cam_rw_ptr[4:3]));
3911
*/
3912
 
3913
bw_r_scm   stb_cam   (
3914
          .stb_camwr_data ({lsu_stb_va_m[9:3],stb_ldst_byte_msk_min[7:0]}),
3915
          /*AUTOINST*/
3916
                      // Outputs
3917
                      .stb_rdata_ramc   (stb_rdata_ramc[44:0]),
3918
                      .stb_ld_full_raw  (stb_ld_full_raw[7:0]),
3919
                      .stb_ld_partial_raw(stb_ld_partial_raw[7:0]),
3920
                      .stb_cam_hit_ptr  (stb_cam_hit_ptr[2:0]),
3921
                      .stb_cam_hit      (stb_cam_hit),
3922
                      .stb_cam_mhit     (stb_cam_mhit),
3923
                      // Inputs
3924
                      .stb_cam_data     (tlb_pgnum_crit[39:10]), // Templated
3925
                      .stb_alt_wr_data  (lsu_blkst_pgnum_m[39:10]), // Templated
3926
                      .stb_alt_wsel     (lsu_blk_st_m),          // Templated
3927
                      .stb_cam_vld      (stb_cam_vld),
3928
                      .stb_cam_cm_tid   (stb_cam_cm_tid[1:0]),
3929
                      .stb_cam_sqsh_msk (stb_cam_sqsh_msk[7:0]),
3930
                      .stb_cam_rw_ptr   (stb_cam_rw_ptr[2:0]),
3931
                      .stb_cam_wptr_vld (stb_cam_wptr_vld),
3932
                      .stb_cam_rptr_vld (stb_cam_rptr_vld),
3933
                      .stb_cam_rw_tid   (stb_cam_rw_ptr[4:3]),   // Templated
3934
                      .stb_quad_ld_cam  (lsu_ldquad_inst_m),     // Templated
3935
                      .rclk             (clk),                   // Templated
3936
                      .rst_tri_en       (mem_write_disable));     // Templated
3937
/*bw_r_rf32x80 AUTO_TEMPLATE (
3938
                         .rst_tri_en           (mem_write_disable),
3939
                         .dout   (stb_rdata_ramd[79:0]),
3940
                         .wr_adr (stb_data_wr_ptr[4:0]),
3941
                         .wr_en  (stb_data_wptr_vld),
3942
                         .nib_wr_en ({20{1'b1}}),
3943
                         .rd_adr (stb_data_rd_ptr[4:0]),
3944
                         .rd_en  (stb_data_rptr_vld),
3945
                         //.sehold (),
3946
                         .reset_l(arst_l),
3947
                         .rclk    (clk));
3948
 
3949
*/
3950
bw_r_rf32x80 stb_data(
3951
                      .din ({4'b0, stb_wdata_ramd_b75_b64[75:64], lsu_stb_st_data_g[63:0]}),
3952
                      .so               (short_scan0_5),
3953
                      .si               (short_scan0_4),
3954
                      /*AUTOINST*/
3955
                      // Outputs
3956
                      .dout             (stb_rdata_ramd[79:0]),  // Templated
3957
                      // Inputs
3958
                      .rd_adr           (stb_data_rd_ptr[4:0]),  // Templated
3959
                      .rd_en            (stb_data_rptr_vld),     // Templated
3960
                      .wr_en            (stb_data_wptr_vld),     // Templated
3961
                      .nib_wr_en        ({20{1'b1}}),            // Templated
3962
                      .wr_adr           (stb_data_wr_ptr[4:0]),  // Templated
3963
                      .rclk             (clk),                   // Templated
3964
                      .reset_l          (arst_l),                // Templated
3965
                      .rst_tri_en       (mem_write_disable),     // Templated
3966
                      .sehold           (sehold),
3967
                      .se               (se));
3968
/*lsu_stb_ctl AUTO_TEMPLATE (
3969
         .rclk                  (clk),
3970
         .st_dtlb_perr_g        (lsu_st_dtlb_perr_g[@]),
3971
         .lsu_outstanding_rmo_st_max (lsu_outstanding_rmo_st_max[@]),
3972
               .st_pcx_rq_kill_w2    (lsu_st_pcx_rq_kill_w2[@]),
3973
         .flshinst_rst         (lsu_dfq_flsh_cmplt[@]),
3974
         .stb_rmo_st_issue     (lsu_stb_rmo_st_issue[@]),
3975
         .lsu_stb_empty        (lsu_stb_empty[@]),
3976
         .stb_l2bnk_addr       (stb@_l2b_addr[2:0]),
3977
         .stb_atm_rq_type      (stb@_atm_rq_type[2:1]),
3978
         .stb_wrptr            (stb_wrptr@[2:0]),
3979
         .stb_wrptr_prev       (stb_wrptr@_prev[2:0]),
3980
         .stb_state_ced_mod    (stb_state_ced@[7:0]),
3981
         .stb_state_vld_out    (stb_state_vld@[7:0]),
3982
         .stb_rd_for_pcx       (stb_rd_for_pcx[@]),
3983
         .stb_dfq_rptr         (stb_dfq_rptr@[2:0]),
3984
         .stb_pcx_rptr         (stb_pcx_rptr@[2:0]),
3985
         .thrd_en_g            (stb_thrd_en_g[@]),
3986
         .pcx_rq_for_stb       (pcx_rq_for_stb[@]),
3987
         .stb_crnt_ack_id      (stb@_crnt_ack_id[2:0]),
3988
         .lsu_stbcnt           (lsu_stbcnt@[3:0]),
3989
         .pcx_req_squash       (lsu_pcx_req_squash@),
3990
         .cpx_st_ack_tid       (cpx_st_ack_tid@),
3991
         .st_ack_dq_stb        (lsu_st_ack_dq_stb[@]),
3992
         .stb_cam_wvld_m       (stb_cam_wvld_m[@]),
3993
         .stb_flush_st_g       (stb_flush_st_g[@]),
3994
         .cpx_st_ack_tid       (cpx_st_ack_tid@),
3995
               .stb_full                   (lsu_tlu_stb_full_w2[@]),
3996
         .tlb_pgnum_g          (tlb_pgnum_buf2[39:37]), // timing fix
3997
         .stb_alt_sel          (lsu_blk_st_m),
3998
         .stb_alt_addr         (lsu_blkst_pgnum_m[39:37]),
3999
         .stb_clk_en_l         (stb@_clk_en_l[7:0]),
4000
         .stb_non_l2bnk        (stb@_non_l2bnk),
4001
         .stb_state_si_0       (stb@_state_si_0[3:2]),
4002
         .stb_state_si_1       (stb@_state_si_1[3:2]),
4003
         .stb_state_si_2       (stb@_state_si_2[3:2]),
4004
         .stb_state_si_3       (stb@_state_si_3[3:2]),
4005
         .stb_state_si_4       (stb@_state_si_4[3:2]),
4006
         .stb_state_si_5       (stb@_state_si_5[3:2]),
4007
         .stb_state_si_6       (stb@_state_si_6[3:2]),
4008
         .stb_state_si_7       (stb@_state_si_7[3:2]),
4009
         .stb_state_rtype_0    (stb@_state_rtype_0[2:1]),
4010
         .stb_state_rtype_1    (stb@_state_rtype_1[2:1]),
4011
         .stb_state_rtype_2    (stb@_state_rtype_2[2:1]),
4012
         .stb_state_rtype_3    (stb@_state_rtype_3[2:1]),
4013
         .stb_state_rtype_4    (stb@_state_rtype_4[2:1]),
4014
         .stb_state_rtype_5    (stb@_state_rtype_5[2:1]),
4015
         .stb_state_rtype_6    (stb@_state_rtype_6[2:1]),
4016
         .stb_state_rtype_7    (stb@_state_rtype_7[2:1]),
4017
         .stb_state_io         (stb@_state_io[7:0]),
4018
         .stb_state_rmo        (stb@_state_rmo[7:0]));
4019
*/
4020
 
4021
/*lsu_stb_ctldp AUTO_TEMPLATE (
4022
         .rclk                 (clk),
4023
         .stb_clk_en_l         (stb@_clk_en_l[7:0]),
4024
         .stb_non_l2bnk        (stb@_non_l2bnk),
4025
         .stb_state_si_0       (stb@_state_si_0[3:2]),
4026
         .stb_state_si_1       (stb@_state_si_1[3:2]),
4027
         .stb_state_si_2       (stb@_state_si_2[3:2]),
4028
         .stb_state_si_3       (stb@_state_si_3[3:2]),
4029
         .stb_state_si_4       (stb@_state_si_4[3:2]),
4030
         .stb_state_si_5       (stb@_state_si_5[3:2]),
4031
         .stb_state_si_6       (stb@_state_si_6[3:2]),
4032
         .stb_state_si_7       (stb@_state_si_7[3:2]),
4033
         .stb_state_rtype_0    (stb@_state_rtype_0[2:1]),
4034
         .stb_state_rtype_1    (stb@_state_rtype_1[2:1]),
4035
         .stb_state_rtype_2    (stb@_state_rtype_2[2:1]),
4036
         .stb_state_rtype_3    (stb@_state_rtype_3[2:1]),
4037
         .stb_state_rtype_4    (stb@_state_rtype_4[2:1]),
4038
         .stb_state_rtype_5    (stb@_state_rtype_5[2:1]),
4039
         .stb_state_rtype_6    (stb@_state_rtype_6[2:1]),
4040
         .stb_state_rtype_7    (stb@_state_rtype_7[2:1]),
4041
         .stb_state_io         (stb@_state_io[7:0]),
4042
         .stb_state_rmo        (stb@_state_rmo[7:0]));
4043
*/
4044
 
4045
lsu_stb_ctl stb_ctl0  (
4046
                       .so              (short_scan0_6),
4047
                       .si              (short_scan0_5),
4048
                       /*AUTOINST*/
4049
                       // Outputs
4050
                       .stb_clk_en_l    (stb0_clk_en_l[7:0]),    // Templated
4051
                       .stb_crnt_ack_id (stb0_crnt_ack_id[2:0]), // Templated
4052
                       .lsu_stb_empty   (lsu_stb_empty[0]),      // Templated
4053
                       .stb_l2bnk_addr  (stb0_l2b_addr[2:0]),    // Templated
4054
                       .stb_atm_rq_type (stb0_atm_rq_type[2:1]), // Templated
4055
                       .stb_wrptr       (stb_wrptr0[2:0]),       // Templated
4056
                       .stb_rd_for_pcx  (stb_rd_for_pcx[0]),     // Templated
4057
                       .stb_pcx_rptr    (stb_pcx_rptr0[2:0]),    // Templated
4058
                       .stb_wrptr_prev  (stb_wrptr0_prev[2:0]),  // Templated
4059
                       .stb_state_ced_mod(stb_state_ced0[7:0]),  // Templated
4060
                       .stb_state_vld_out(stb_state_vld0[7:0]),  // Templated
4061
                       .lsu_stbcnt      (lsu_stbcnt0[3:0]),      // Templated
4062
                       .stb_rmo_st_issue(lsu_stb_rmo_st_issue[0]), // Templated
4063
                       .stb_full        (lsu_tlu_stb_full_w2_t[0]), // Templated
4064
                       .st_pcx_rq_kill_w2(lsu_st_pcx_rq_kill_w2[0]), // Templated
4065
                       // Inputs
4066
                       .rclk            (clk),                   // Templated
4067
                       .grst_l          (grst_l),
4068
                       .arst_l          (arst_l),
4069
                       .se              (se),
4070
                       .thrd_en_g       (stb_thrd_en_g[0]),      // Templated
4071
                       .cpx_st_ack_tid  (cpx_st_ack_tid0),       // Templated
4072
                       .pcx_rq_for_stb  (pcx_rq_for_stb[0]),     // Templated
4073
                       .st_ack_dq_stb   (lsu_st_ack_dq_stb[0]),  // Templated
4074
                       .stb_flush_st_g  (stb_flush_st_g[0]),     // Templated
4075
                       .stb_cam_wvld_m  (stb_cam_wvld_m[0]),     // Templated
4076
                       .lsu_blk_st_m    (lsu_blk_st_m),
4077
                       .tlb_pgnum_g     (tlb_pgnum_buf2[39:37]), // Templated
4078
                       .pcx_req_squash  (lsu_pcx_req_squash0),   // Templated
4079
                       .flshinst_rst    (lsu_dfq_flsh_cmplt[0]), // Templated
4080
                       .lsu_stbctl_flush_pipe_w(lsu_stbctl_flush_pipe_w),
4081
                       .flsh_inst_m     (flsh_inst_m),
4082
                       .stb_state_si_0  (stb0_state_si_0[3:2]),  // Templated
4083
                       .stb_state_si_1  (stb0_state_si_1[3:2]),  // Templated
4084
                       .stb_state_si_2  (stb0_state_si_2[3:2]),  // Templated
4085
                       .stb_state_si_3  (stb0_state_si_3[3:2]),  // Templated
4086
                       .stb_state_si_4  (stb0_state_si_4[3:2]),  // Templated
4087
                       .stb_state_si_5  (stb0_state_si_5[3:2]),  // Templated
4088
                       .stb_state_si_6  (stb0_state_si_6[3:2]),  // Templated
4089
                       .stb_state_si_7  (stb0_state_si_7[3:2]),  // Templated
4090
                       .stb_state_rtype_0(stb0_state_rtype_0[2:1]), // Templated
4091
                       .stb_state_rtype_1(stb0_state_rtype_1[2:1]), // Templated
4092
                       .stb_state_rtype_2(stb0_state_rtype_2[2:1]), // Templated
4093
                       .stb_state_rtype_3(stb0_state_rtype_3[2:1]), // Templated
4094
                       .stb_state_rtype_4(stb0_state_rtype_4[2:1]), // Templated
4095
                       .stb_state_rtype_5(stb0_state_rtype_5[2:1]), // Templated
4096
                       .stb_state_rtype_6(stb0_state_rtype_6[2:1]), // Templated
4097
                       .stb_state_rtype_7(stb0_state_rtype_7[2:1]), // Templated
4098
                       .stb_state_rmo   (stb0_state_rmo[7:0]),   // Templated
4099
                       .stb_alt_sel     (lsu_blk_st_m),          // Templated
4100
                       .stb_alt_addr    (lsu_blkst_pgnum_m[39:37]), // Templated
4101
                       .lsu_dtlb_bypass_e(lsu_dtlb_bypass_e),
4102
                       .tlb_cam_hit     (tlb_cam_hit),
4103
                       .st_dtlb_perr_g  (lsu_st_dtlb_perr_g[0]), // Templated
4104
                       .lsu_outstanding_rmo_st_max(lsu_outstanding_rmo_st_max[0])); // Templated
4105
lsu_stb_ctldp stb_ctldp0  (
4106
                           .so          (short_scan1_5),
4107
                           .si          (short_scan1_4),
4108
                           /*AUTOINST*/
4109
                           // Outputs
4110
                           .stb_state_si_0(stb0_state_si_0[3:2]), // Templated
4111
                           .stb_state_si_1(stb0_state_si_1[3:2]), // Templated
4112
                           .stb_state_si_2(stb0_state_si_2[3:2]), // Templated
4113
                           .stb_state_si_3(stb0_state_si_3[3:2]), // Templated
4114
                           .stb_state_si_4(stb0_state_si_4[3:2]), // Templated
4115
                           .stb_state_si_5(stb0_state_si_5[3:2]), // Templated
4116
                           .stb_state_si_6(stb0_state_si_6[3:2]), // Templated
4117
                           .stb_state_si_7(stb0_state_si_7[3:2]), // Templated
4118
                           .stb_state_rtype_0(stb0_state_rtype_0[2:1]), // Templated
4119
                           .stb_state_rtype_1(stb0_state_rtype_1[2:1]), // Templated
4120
                           .stb_state_rtype_2(stb0_state_rtype_2[2:1]), // Templated
4121
                           .stb_state_rtype_3(stb0_state_rtype_3[2:1]), // Templated
4122
                           .stb_state_rtype_4(stb0_state_rtype_4[2:1]), // Templated
4123
                           .stb_state_rtype_5(stb0_state_rtype_5[2:1]), // Templated
4124
                           .stb_state_rtype_6(stb0_state_rtype_6[2:1]), // Templated
4125
                           .stb_state_rtype_7(stb0_state_rtype_7[2:1]), // Templated
4126
                           .stb_state_rmo(stb0_state_rmo[7:0]),  // Templated
4127
                           // Inputs
4128
                           .rclk        (clk),                   // Templated
4129
                           .se          (se),
4130
                           .stb_clk_en_l(stb0_clk_en_l[7:0]),    // Templated
4131
                           .lsu_stb_va_m(lsu_stb_va_m[7:6]),
4132
                           .lsu_st_rq_type_m(lsu_st_rq_type_m[2:1]),
4133
                           .lsu_st_rmo_m(lsu_st_rmo_m));
4134
 
4135
`ifdef FPGA_SYN_1THREAD
4136
 
4137
 
4138
lsu_stb_ctl stb_ctl1  (
4139
                       .so              (short_scan1_6),
4140
                       .si              (short_scan1_5),
4141
                       /*AUTOINST*/
4142
                       // Outputs
4143
                       .stb_clk_en_l    (stb1_clk_en_l[7:0]),    // Templated
4144
                       .stb_crnt_ack_id (), // Templated
4145
                       .lsu_stb_empty   (),      // Templated
4146
                       .stb_l2bnk_addr  (),    // Templated
4147
                       .stb_atm_rq_type (), // Templated
4148
                       .stb_wrptr       (),       // Templated
4149
                       .stb_rd_for_pcx  (),     // Templated
4150
                       .stb_pcx_rptr    (),    // Templated
4151
                       .stb_wrptr_prev  (),  // Templated
4152
                       .stb_state_ced_mod(),  // Templated
4153
                       .stb_state_vld_out(),  // Templated
4154
                       .lsu_stbcnt      (),      // Templated
4155
                       .stb_rmo_st_issue(), // Templated
4156
                       .stb_full        (), // Templated
4157
                       .st_pcx_rq_kill_w2(), // Templated
4158
                       // Inputs
4159
                       .rclk            (clk),                   // Templated
4160
                       .grst_l          (grst_l),
4161
                       .arst_l          (arst_l),
4162
                       .se              (se),
4163
                       .thrd_en_g       (1'b0),      // Templated
4164
                       .cpx_st_ack_tid  (1'b0),       // Templated
4165
                       .pcx_rq_for_stb  (1'b0),     // Templated
4166
                       .st_ack_dq_stb   (1'b0),  // Templated
4167
                       .stb_flush_st_g  (1'b0),     // Templated
4168
                       .stb_cam_wvld_m  (1'b0),     // Templated
4169
                       .lsu_blk_st_m    (1'b0),
4170
                       .tlb_pgnum_g     (3'b000), // Templated
4171
                       .pcx_req_squash  (1'b0),   // Templated
4172
                       .flshinst_rst    (1'b0), // Templated
4173
                       .lsu_stbctl_flush_pipe_w(1'b0),
4174
                       .flsh_inst_m     (1'b0),
4175
                       .stb_state_si_0  (2'b00),  // Templated
4176
                       .stb_state_si_1  (2'b00),  // Templated
4177
                       .stb_state_si_2  (2'b00),  // Templated
4178
                       .stb_state_si_3  (2'b00),  // Templated
4179
                       .stb_state_si_4  (2'b00),  // Templated
4180
                       .stb_state_si_5  (2'b00),  // Templated
4181
                       .stb_state_si_6  (2'b00),  // Templated
4182
                       .stb_state_si_7  (2'b00),  // Templated
4183
                       .stb_state_rtype_0(2'b00), // Templated
4184
                       .stb_state_rtype_1(2'b00), // Templated
4185
                       .stb_state_rtype_2(2'b00), // Templated
4186
                       .stb_state_rtype_3(2'b00), // Templated
4187
                       .stb_state_rtype_4(2'b00), // Templated
4188
                       .stb_state_rtype_5(2'b00), // Templated
4189
                       .stb_state_rtype_6(2'b00), // Templated
4190
                       .stb_state_rtype_7(2'b00), // Templated
4191
                       .stb_state_rmo   (8'h00),   // Templated
4192
                       .stb_alt_sel     (1'b0),          // Templated
4193
                       .stb_alt_addr    (3'b000), // Templated
4194
                       .lsu_dtlb_bypass_e(1'b0),
4195
                       .tlb_cam_hit     (1'b0),
4196
                       .st_dtlb_perr_g  (1'b0), // Templated
4197
                       .lsu_outstanding_rmo_st_max(1'b0)); // Templated
4198
lsu_stb_ctldp stb_ctldp1  (
4199
                           .so          (short_scan0_7),
4200
                           .si          (short_scan0_6),
4201
                           /*AUTOINST*/
4202
                           // Outputs
4203
                           .stb_state_si_0(), // Templated
4204
                           .stb_state_si_1(), // Templated
4205
                           .stb_state_si_2(), // Templated
4206
                           .stb_state_si_3(), // Templated
4207
                           .stb_state_si_4(), // Templated
4208
                           .stb_state_si_5(), // Templated
4209
                           .stb_state_si_6(), // Templated
4210
                           .stb_state_si_7(), // Templated
4211
                           .stb_state_rtype_0(), // Templated
4212
                           .stb_state_rtype_1(), // Templated
4213
                           .stb_state_rtype_2(), // Templated
4214
                           .stb_state_rtype_3(), // Templated
4215
                           .stb_state_rtype_4(), // Templated
4216
                           .stb_state_rtype_5(), // Templated
4217
                           .stb_state_rtype_6(), // Templated
4218
                           .stb_state_rtype_7(), // Templated
4219
                           .stb_state_rmo(),  // Templated
4220
                           // Inputs
4221
                           .rclk        (clk),                   // Templated
4222
                           .se          (se),
4223
                           .stb_clk_en_l(stb1_clk_en_l[7:0]),    // Templated
4224
                           .lsu_stb_va_m(2'b00),
4225
                           .lsu_st_rq_type_m(2'b00),
4226
                           .lsu_st_rmo_m(1'b0));
4227
 
4228
   lsu_stb_ctl stb_ctl2  (
4229
                       .so              (short_scan1_7),
4230
                       .si              (short_scan1_6),
4231
                       /*AUTOINST*/
4232
                       // Outputs
4233
                       .stb_clk_en_l    (stb2_clk_en_l[7:0]),    // Templated
4234
                       .stb_crnt_ack_id (), // Templated
4235
                       .lsu_stb_empty   (),      // Templated
4236
                       .stb_l2bnk_addr  (),    // Templated
4237
                       .stb_atm_rq_type (), // Templated
4238
                       .stb_wrptr       (),       // Templated
4239
                       .stb_rd_for_pcx  (),     // Templated
4240
                       .stb_pcx_rptr    (),    // Templated
4241
                       .stb_wrptr_prev  (),  // Templated
4242
                       .stb_state_ced_mod(),  // Templated
4243
                       .stb_state_vld_out(),  // Templated
4244
                       .lsu_stbcnt      (),      // Templated
4245
                       .stb_rmo_st_issue(), // Templated
4246
                       .stb_full        (), // Templated
4247
                       .st_pcx_rq_kill_w2(), // Templated
4248
                       // Inputs
4249
                       .rclk            (clk),                   // Templated
4250
                       .grst_l          (grst_l),
4251
                       .arst_l          (arst_l),
4252
                       .se              (se),
4253
                       .thrd_en_g       (1'b0),      // Templated
4254
                       .cpx_st_ack_tid  (1'b0),       // Templated
4255
                       .pcx_rq_for_stb  (1'b0),     // Templated
4256
                       .st_ack_dq_stb   (1'b0),  // Templated
4257
                       .stb_flush_st_g  (1'b0),     // Templated
4258
                       .stb_cam_wvld_m  (1'b0),     // Templated
4259
                       .lsu_blk_st_m    (1'b0),
4260
                       .tlb_pgnum_g     (3'b000), // Templated
4261
                       .pcx_req_squash  (1'b0),   // Templated
4262
                       .flshinst_rst    (1'b0), // Templated
4263
                       .lsu_stbctl_flush_pipe_w(1'b0),
4264
                       .flsh_inst_m     (1'b0),
4265
                       .stb_state_si_0  (2'b00),  // Templated
4266
                       .stb_state_si_1  (2'b00),  // Templated
4267
                       .stb_state_si_2  (2'b00),  // Templated
4268
                       .stb_state_si_3  (2'b00),  // Templated
4269
                       .stb_state_si_4  (2'b00),  // Templated
4270
                       .stb_state_si_5  (2'b00),  // Templated
4271
                       .stb_state_si_6  (2'b00),  // Templated
4272
                       .stb_state_si_7  (2'b00),  // Templated
4273
                       .stb_state_rtype_0(2'b00), // Templated
4274
                       .stb_state_rtype_1(2'b00), // Templated
4275
                       .stb_state_rtype_2(2'b00), // Templated
4276
                       .stb_state_rtype_3(2'b00), // Templated
4277
                       .stb_state_rtype_4(2'b00), // Templated
4278
                       .stb_state_rtype_5(2'b00), // Templated
4279
                       .stb_state_rtype_6(2'b00), // Templated
4280
                       .stb_state_rtype_7(2'b00), // Templated
4281
                       .stb_state_rmo   (8'h00),   // Templated
4282
                       .stb_alt_sel     (1'b0),          // Templated
4283
                       .stb_alt_addr    (3'b000), // Templated
4284
                       .lsu_dtlb_bypass_e(1'b0),
4285
                       .tlb_cam_hit     (1'b0),
4286
                       .st_dtlb_perr_g  (1'b0), // Templated
4287
                       .lsu_outstanding_rmo_st_max(1'b0)); // Templated
4288
lsu_stb_ctldp stb_ctldp2  (
4289
                           .so          (short_scan1_8),
4290
                           .si          (short_scan1_7),
4291
                           /*AUTOINST*/
4292
                           // Outputs
4293
                           .stb_state_si_0(), // Templated
4294
                           .stb_state_si_1(), // Templated
4295
                           .stb_state_si_2(), // Templated
4296
                           .stb_state_si_3(), // Templated
4297
                           .stb_state_si_4(), // Templated
4298
                           .stb_state_si_5(), // Templated
4299
                           .stb_state_si_6(), // Templated
4300
                           .stb_state_si_7(), // Templated
4301
                           .stb_state_rtype_0(), // Templated
4302
                           .stb_state_rtype_1(), // Templated
4303
                           .stb_state_rtype_2(), // Templated
4304
                           .stb_state_rtype_3(), // Templated
4305
                           .stb_state_rtype_4(), // Templated
4306
                           .stb_state_rtype_5(), // Templated
4307
                           .stb_state_rtype_6(), // Templated
4308
                           .stb_state_rtype_7(), // Templated
4309
                           .stb_state_rmo(),  // Templated
4310
                           // Inputs
4311
                           .rclk        (clk),                   // Templated
4312
                           .se          (se),
4313
                           .stb_clk_en_l(stb2_clk_en_l[7:0]),    // Templated
4314
                           .lsu_stb_va_m(2'b00),
4315
                           .lsu_st_rq_type_m(2'b00),
4316
                           .lsu_st_rmo_m(1'b0));
4317
 
4318
   lsu_stb_ctl stb_ctl3  (
4319
                       .so              (short_scan0_8),
4320
                       .si              (short_scan0_7),
4321
                       /*AUTOINST*/
4322
                       // Outputs
4323
                       .stb_clk_en_l    (stb3_clk_en_l[7:0]),    // Templated
4324
                       .stb_crnt_ack_id (), // Templated
4325
                       .lsu_stb_empty   (),      // Templated
4326
                       .stb_l2bnk_addr  (),    // Templated
4327
                       .stb_atm_rq_type (), // Templated
4328
                       .stb_wrptr       (),       // Templated
4329
                       .stb_rd_for_pcx  (),     // Templated
4330
                       .stb_pcx_rptr    (),    // Templated
4331
                       .stb_wrptr_prev  (),  // Templated
4332
                       .stb_state_ced_mod(),  // Templated
4333
                       .stb_state_vld_out(),  // Templated
4334
                       .lsu_stbcnt      (),      // Templated
4335
                       .stb_rmo_st_issue(), // Templated
4336
                       .stb_full        (), // Templated
4337
                       .st_pcx_rq_kill_w2(), // Templated
4338
                       // Inputs
4339
                       .rclk            (clk),                   // Templated
4340
                       .grst_l          (grst_l),
4341
                       .arst_l          (arst_l),
4342
                       .se              (se),
4343
                       .thrd_en_g       (1'b0),      // Templated
4344
                       .cpx_st_ack_tid  (1'b0),       // Templated
4345
                       .pcx_rq_for_stb  (1'b0),     // Templated
4346
                       .st_ack_dq_stb   (1'b0),  // Templated
4347
                       .stb_flush_st_g  (1'b0),     // Templated
4348
                       .stb_cam_wvld_m  (1'b0),     // Templated
4349
                       .lsu_blk_st_m    (1'b0),
4350
                       .tlb_pgnum_g     (3'b000), // Templated
4351
                       .pcx_req_squash  (1'b0),   // Templated
4352
                       .flshinst_rst    (1'b0), // Templated
4353
                       .lsu_stbctl_flush_pipe_w(1'b0),
4354
                       .flsh_inst_m     (1'b0),
4355
                       .stb_state_si_0  (2'b00),  // Templated
4356
                       .stb_state_si_1  (2'b00),  // Templated
4357
                       .stb_state_si_2  (2'b00),  // Templated
4358
                       .stb_state_si_3  (2'b00),  // Templated
4359
                       .stb_state_si_4  (2'b00),  // Templated
4360
                       .stb_state_si_5  (2'b00),  // Templated
4361
                       .stb_state_si_6  (2'b00),  // Templated
4362
                       .stb_state_si_7  (2'b00),  // Templated
4363
                       .stb_state_rtype_0(2'b00), // Templated
4364
                       .stb_state_rtype_1(2'b00), // Templated
4365
                       .stb_state_rtype_2(2'b00), // Templated
4366
                       .stb_state_rtype_3(2'b00), // Templated
4367
                       .stb_state_rtype_4(2'b00), // Templated
4368
                       .stb_state_rtype_5(2'b00), // Templated
4369
                       .stb_state_rtype_6(2'b00), // Templated
4370
                       .stb_state_rtype_7(2'b00), // Templated
4371
                       .stb_state_rmo   (8'h00),   // Templated
4372
                       .stb_alt_sel     (1'b0),          // Templated
4373
                       .stb_alt_addr    (3'b000), // Templated
4374
                       .lsu_dtlb_bypass_e(1'b0),
4375
                       .tlb_cam_hit     (1'b0),
4376
                       .st_dtlb_perr_g  (1'b0), // Templated
4377
                       .lsu_outstanding_rmo_st_max(1'b0)); // Templated
4378
lsu_stb_ctldp stb_ctldp3  (
4379
                           .so          (short_so1),
4380
                           .si          (short_scan1_8),
4381
                           /*AUTOINST*/
4382
                           // Outputs
4383
                           .stb_state_si_0(), // Templated
4384
                           .stb_state_si_1(), // Templated
4385
                           .stb_state_si_2(), // Templated
4386
                           .stb_state_si_3(), // Templated
4387
                           .stb_state_si_4(), // Templated
4388
                           .stb_state_si_5(), // Templated
4389
                           .stb_state_si_6(), // Templated
4390
                           .stb_state_si_7(), // Templated
4391
                           .stb_state_rtype_0(), // Templated
4392
                           .stb_state_rtype_1(), // Templated
4393
                           .stb_state_rtype_2(), // Templated
4394
                           .stb_state_rtype_3(), // Templated
4395
                           .stb_state_rtype_4(), // Templated
4396
                           .stb_state_rtype_5(), // Templated
4397
                           .stb_state_rtype_6(), // Templated
4398
                           .stb_state_rtype_7(), // Templated
4399
                           .stb_state_rmo(),  // Templated
4400
                           // Inputs
4401
                           .rclk        (clk),                   // Templated
4402
                           .se          (se),
4403
                           .stb_clk_en_l(stb3_clk_en_l[7:0]),    // Templated
4404
                           .lsu_stb_va_m(2'b00),
4405
                           .lsu_st_rq_type_m(2'b00),
4406
                           .lsu_st_rmo_m(1'b0));
4407
`else // !`ifdef FPGA_SYN_1THREAD
4408
 
4409
 
4410
lsu_stb_ctl stb_ctl1  (
4411
                       .so              (short_scan1_6),
4412
                       .si              (short_scan1_5),
4413
                       /*AUTOINST*/
4414
                       // Outputs
4415
                       .stb_clk_en_l    (stb1_clk_en_l[7:0]),    // Templated
4416
                       .stb_crnt_ack_id (stb1_crnt_ack_id[2:0]), // Templated
4417
                       .lsu_stb_empty   (lsu_stb_empty[1]),      // Templated
4418
                       .stb_l2bnk_addr  (stb1_l2b_addr[2:0]),    // Templated
4419
                       .stb_atm_rq_type (stb1_atm_rq_type[2:1]), // Templated
4420
                       .stb_wrptr       (stb_wrptr1[2:0]),       // Templated
4421
                       .stb_rd_for_pcx  (stb_rd_for_pcx[1]),     // Templated
4422
                       .stb_pcx_rptr    (stb_pcx_rptr1[2:0]),    // Templated
4423
                       .stb_wrptr_prev  (stb_wrptr1_prev[2:0]),  // Templated
4424
                       .stb_state_ced_mod(stb_state_ced1[7:0]),  // Templated
4425
                       .stb_state_vld_out(stb_state_vld1[7:0]),  // Templated
4426
                       .lsu_stbcnt      (lsu_stbcnt1[3:0]),      // Templated
4427
                       .stb_rmo_st_issue(lsu_stb_rmo_st_issue[1]), // Templated
4428
                       .stb_full        (lsu_tlu_stb_full_w2_t[1]), // Templated
4429
                       .st_pcx_rq_kill_w2(lsu_st_pcx_rq_kill_w2[1]), // Templated
4430
                       // Inputs
4431
                       .rclk            (clk),                   // Templated
4432
                       .grst_l          (grst_l),
4433
                       .arst_l          (arst_l),
4434
                       .se              (se),
4435
                       .thrd_en_g       (stb_thrd_en_g[1]),      // Templated
4436
                       .cpx_st_ack_tid  (cpx_st_ack_tid1),       // Templated
4437
                       .pcx_rq_for_stb  (pcx_rq_for_stb[1]),     // Templated
4438
                       .st_ack_dq_stb   (lsu_st_ack_dq_stb[1]),  // Templated
4439
                       .stb_flush_st_g  (stb_flush_st_g[1]),     // Templated
4440
                       .stb_cam_wvld_m  (stb_cam_wvld_m[1]),     // Templated
4441
                       .lsu_blk_st_m    (lsu_blk_st_m),
4442
                       .tlb_pgnum_g     (tlb_pgnum_buf2[39:37]), // Templated
4443
                       .pcx_req_squash  (lsu_pcx_req_squash1),   // Templated
4444
                       .flshinst_rst    (lsu_dfq_flsh_cmplt[1]), // Templated
4445
                       .lsu_stbctl_flush_pipe_w(lsu_stbctl_flush_pipe_w),
4446
                       .flsh_inst_m     (flsh_inst_m),
4447
                       .stb_state_si_0  (stb1_state_si_0[3:2]),  // Templated
4448
                       .stb_state_si_1  (stb1_state_si_1[3:2]),  // Templated
4449
                       .stb_state_si_2  (stb1_state_si_2[3:2]),  // Templated
4450
                       .stb_state_si_3  (stb1_state_si_3[3:2]),  // Templated
4451
                       .stb_state_si_4  (stb1_state_si_4[3:2]),  // Templated
4452
                       .stb_state_si_5  (stb1_state_si_5[3:2]),  // Templated
4453
                       .stb_state_si_6  (stb1_state_si_6[3:2]),  // Templated
4454
                       .stb_state_si_7  (stb1_state_si_7[3:2]),  // Templated
4455
                       .stb_state_rtype_0(stb1_state_rtype_0[2:1]), // Templated
4456
                       .stb_state_rtype_1(stb1_state_rtype_1[2:1]), // Templated
4457
                       .stb_state_rtype_2(stb1_state_rtype_2[2:1]), // Templated
4458
                       .stb_state_rtype_3(stb1_state_rtype_3[2:1]), // Templated
4459
                       .stb_state_rtype_4(stb1_state_rtype_4[2:1]), // Templated
4460
                       .stb_state_rtype_5(stb1_state_rtype_5[2:1]), // Templated
4461
                       .stb_state_rtype_6(stb1_state_rtype_6[2:1]), // Templated
4462
                       .stb_state_rtype_7(stb1_state_rtype_7[2:1]), // Templated
4463
                       .stb_state_rmo   (stb1_state_rmo[7:0]),   // Templated
4464
                       .stb_alt_sel     (lsu_blk_st_m),          // Templated
4465
                       .stb_alt_addr    (lsu_blkst_pgnum_m[39:37]), // Templated
4466
                       .lsu_dtlb_bypass_e(lsu_dtlb_bypass_e),
4467
                       .tlb_cam_hit     (tlb_cam_hit),
4468
                       .st_dtlb_perr_g  (lsu_st_dtlb_perr_g[1]), // Templated
4469
                       .lsu_outstanding_rmo_st_max(lsu_outstanding_rmo_st_max[1])); // Templated
4470
lsu_stb_ctldp stb_ctldp1  (
4471
                           .so          (short_scan0_7),
4472
                           .si          (short_scan0_6),
4473
                           /*AUTOINST*/
4474
                           // Outputs
4475
                           .stb_state_si_0(stb1_state_si_0[3:2]), // Templated
4476
                           .stb_state_si_1(stb1_state_si_1[3:2]), // Templated
4477
                           .stb_state_si_2(stb1_state_si_2[3:2]), // Templated
4478
                           .stb_state_si_3(stb1_state_si_3[3:2]), // Templated
4479
                           .stb_state_si_4(stb1_state_si_4[3:2]), // Templated
4480
                           .stb_state_si_5(stb1_state_si_5[3:2]), // Templated
4481
                           .stb_state_si_6(stb1_state_si_6[3:2]), // Templated
4482
                           .stb_state_si_7(stb1_state_si_7[3:2]), // Templated
4483
                           .stb_state_rtype_0(stb1_state_rtype_0[2:1]), // Templated
4484
                           .stb_state_rtype_1(stb1_state_rtype_1[2:1]), // Templated
4485
                           .stb_state_rtype_2(stb1_state_rtype_2[2:1]), // Templated
4486
                           .stb_state_rtype_3(stb1_state_rtype_3[2:1]), // Templated
4487
                           .stb_state_rtype_4(stb1_state_rtype_4[2:1]), // Templated
4488
                           .stb_state_rtype_5(stb1_state_rtype_5[2:1]), // Templated
4489
                           .stb_state_rtype_6(stb1_state_rtype_6[2:1]), // Templated
4490
                           .stb_state_rtype_7(stb1_state_rtype_7[2:1]), // Templated
4491
                           .stb_state_rmo(stb1_state_rmo[7:0]),  // Templated
4492
                           // Inputs
4493
                           .rclk        (clk),                   // Templated
4494
                           .se          (se),
4495
                           .stb_clk_en_l(stb1_clk_en_l[7:0]),    // Templated
4496
                           .lsu_stb_va_m(lsu_stb_va_m[7:6]),
4497
                           .lsu_st_rq_type_m(lsu_st_rq_type_m[2:1]),
4498
                           .lsu_st_rmo_m(lsu_st_rmo_m));
4499
 
4500
lsu_stb_ctl stb_ctl2  (
4501
                       .so              (short_scan1_7),
4502
                       .si              (short_scan1_6),
4503
                       /*AUTOINST*/
4504
                       // Outputs
4505
                       .stb_clk_en_l    (stb2_clk_en_l[7:0]),    // Templated
4506
                       .stb_crnt_ack_id (stb2_crnt_ack_id[2:0]), // Templated
4507
                       .lsu_stb_empty   (lsu_stb_empty[2]),      // Templated
4508
                       .stb_l2bnk_addr  (stb2_l2b_addr[2:0]),    // Templated
4509
                       .stb_atm_rq_type (stb2_atm_rq_type[2:1]), // Templated
4510
                       .stb_wrptr       (stb_wrptr2[2:0]),       // Templated
4511
                       .stb_rd_for_pcx  (stb_rd_for_pcx[2]),     // Templated
4512
                       .stb_pcx_rptr    (stb_pcx_rptr2[2:0]),    // Templated
4513
                       .stb_wrptr_prev  (stb_wrptr2_prev[2:0]),  // Templated
4514
                       .stb_state_ced_mod(stb_state_ced2[7:0]),  // Templated
4515
                       .stb_state_vld_out(stb_state_vld2[7:0]),  // Templated
4516
                       .lsu_stbcnt      (lsu_stbcnt2[3:0]),      // Templated
4517
                       .stb_rmo_st_issue(lsu_stb_rmo_st_issue[2]), // Templated
4518
                       .stb_full        (lsu_tlu_stb_full_w2_t[2]), // Templated
4519
                       .st_pcx_rq_kill_w2(lsu_st_pcx_rq_kill_w2[2]), // Templated
4520
                       // Inputs
4521
                       .rclk            (clk),                   // Templated
4522
                       .grst_l          (grst_l),
4523
                       .arst_l          (arst_l),
4524
                       .se              (se),
4525
                       .thrd_en_g       (stb_thrd_en_g[2]),      // Templated
4526
                       .cpx_st_ack_tid  (cpx_st_ack_tid2),       // Templated
4527
                       .pcx_rq_for_stb  (pcx_rq_for_stb[2]),     // Templated
4528
                       .st_ack_dq_stb   (lsu_st_ack_dq_stb[2]),  // Templated
4529
                       .stb_flush_st_g  (stb_flush_st_g[2]),     // Templated
4530
                       .stb_cam_wvld_m  (stb_cam_wvld_m[2]),     // Templated
4531
                       .lsu_blk_st_m    (lsu_blk_st_m),
4532
                       .tlb_pgnum_g     (tlb_pgnum_buf2[39:37]), // Templated
4533
                       .pcx_req_squash  (lsu_pcx_req_squash2),   // Templated
4534
                       .flshinst_rst    (lsu_dfq_flsh_cmplt[2]), // Templated
4535
                       .lsu_stbctl_flush_pipe_w(lsu_stbctl_flush_pipe_w),
4536
                       .flsh_inst_m     (flsh_inst_m),
4537
                       .stb_state_si_0  (stb2_state_si_0[3:2]),  // Templated
4538
                       .stb_state_si_1  (stb2_state_si_1[3:2]),  // Templated
4539
                       .stb_state_si_2  (stb2_state_si_2[3:2]),  // Templated
4540
                       .stb_state_si_3  (stb2_state_si_3[3:2]),  // Templated
4541
                       .stb_state_si_4  (stb2_state_si_4[3:2]),  // Templated
4542
                       .stb_state_si_5  (stb2_state_si_5[3:2]),  // Templated
4543
                       .stb_state_si_6  (stb2_state_si_6[3:2]),  // Templated
4544
                       .stb_state_si_7  (stb2_state_si_7[3:2]),  // Templated
4545
                       .stb_state_rtype_0(stb2_state_rtype_0[2:1]), // Templated
4546
                       .stb_state_rtype_1(stb2_state_rtype_1[2:1]), // Templated
4547
                       .stb_state_rtype_2(stb2_state_rtype_2[2:1]), // Templated
4548
                       .stb_state_rtype_3(stb2_state_rtype_3[2:1]), // Templated
4549
                       .stb_state_rtype_4(stb2_state_rtype_4[2:1]), // Templated
4550
                       .stb_state_rtype_5(stb2_state_rtype_5[2:1]), // Templated
4551
                       .stb_state_rtype_6(stb2_state_rtype_6[2:1]), // Templated
4552
                       .stb_state_rtype_7(stb2_state_rtype_7[2:1]), // Templated
4553
                       .stb_state_rmo   (stb2_state_rmo[7:0]),   // Templated
4554
                       .stb_alt_sel     (lsu_blk_st_m),          // Templated
4555
                       .stb_alt_addr    (lsu_blkst_pgnum_m[39:37]), // Templated
4556
                       .lsu_dtlb_bypass_e(lsu_dtlb_bypass_e),
4557
                       .tlb_cam_hit     (tlb_cam_hit),
4558
                       .st_dtlb_perr_g  (lsu_st_dtlb_perr_g[2]), // Templated
4559
                       .lsu_outstanding_rmo_st_max(lsu_outstanding_rmo_st_max[2])); // Templated
4560
lsu_stb_ctldp stb_ctldp2  (
4561
                           .so          (short_scan1_8),
4562
                           .si          (short_scan1_7),
4563
                           /*AUTOINST*/
4564
                           // Outputs
4565
                           .stb_state_si_0(stb2_state_si_0[3:2]), // Templated
4566
                           .stb_state_si_1(stb2_state_si_1[3:2]), // Templated
4567
                           .stb_state_si_2(stb2_state_si_2[3:2]), // Templated
4568
                           .stb_state_si_3(stb2_state_si_3[3:2]), // Templated
4569
                           .stb_state_si_4(stb2_state_si_4[3:2]), // Templated
4570
                           .stb_state_si_5(stb2_state_si_5[3:2]), // Templated
4571
                           .stb_state_si_6(stb2_state_si_6[3:2]), // Templated
4572
                           .stb_state_si_7(stb2_state_si_7[3:2]), // Templated
4573
                           .stb_state_rtype_0(stb2_state_rtype_0[2:1]), // Templated
4574
                           .stb_state_rtype_1(stb2_state_rtype_1[2:1]), // Templated
4575
                           .stb_state_rtype_2(stb2_state_rtype_2[2:1]), // Templated
4576
                           .stb_state_rtype_3(stb2_state_rtype_3[2:1]), // Templated
4577
                           .stb_state_rtype_4(stb2_state_rtype_4[2:1]), // Templated
4578
                           .stb_state_rtype_5(stb2_state_rtype_5[2:1]), // Templated
4579
                           .stb_state_rtype_6(stb2_state_rtype_6[2:1]), // Templated
4580
                           .stb_state_rtype_7(stb2_state_rtype_7[2:1]), // Templated
4581
                           .stb_state_rmo(stb2_state_rmo[7:0]),  // Templated
4582
                           // Inputs
4583
                           .rclk        (clk),                   // Templated
4584
                           .se          (se),
4585
                           .stb_clk_en_l(stb2_clk_en_l[7:0]),    // Templated
4586
                           .lsu_stb_va_m(lsu_stb_va_m[7:6]),
4587
                           .lsu_st_rq_type_m(lsu_st_rq_type_m[2:1]),
4588
                           .lsu_st_rmo_m(lsu_st_rmo_m));
4589
 
4590
lsu_stb_ctl stb_ctl3  (
4591
                       .so              (short_scan0_8),
4592
                       .si              (short_scan0_7),
4593
                       /*AUTOINST*/
4594
                       // Outputs
4595
                       .stb_clk_en_l    (stb3_clk_en_l[7:0]),    // Templated
4596
                       .stb_crnt_ack_id (stb3_crnt_ack_id[2:0]), // Templated
4597
                       .lsu_stb_empty   (lsu_stb_empty[3]),      // Templated
4598
                       .stb_l2bnk_addr  (stb3_l2b_addr[2:0]),    // Templated
4599
                       .stb_atm_rq_type (stb3_atm_rq_type[2:1]), // Templated
4600
                       .stb_wrptr       (stb_wrptr3[2:0]),       // Templated
4601
                       .stb_rd_for_pcx  (stb_rd_for_pcx[3]),     // Templated
4602
                       .stb_pcx_rptr    (stb_pcx_rptr3[2:0]),    // Templated
4603
                       .stb_wrptr_prev  (stb_wrptr3_prev[2:0]),  // Templated
4604
                       .stb_state_ced_mod(stb_state_ced3[7:0]),  // Templated
4605
                       .stb_state_vld_out(stb_state_vld3[7:0]),  // Templated
4606
                       .lsu_stbcnt      (lsu_stbcnt3[3:0]),      // Templated
4607
                       .stb_rmo_st_issue(lsu_stb_rmo_st_issue[3]), // Templated
4608
                       .stb_full        (lsu_tlu_stb_full_w2_t[3]), // Templated
4609
                       .st_pcx_rq_kill_w2(lsu_st_pcx_rq_kill_w2[3]), // Templated
4610
                       // Inputs
4611
                       .rclk            (clk),                   // Templated
4612
                       .grst_l          (grst_l),
4613
                       .arst_l          (arst_l),
4614
                       .se              (se),
4615
                       .thrd_en_g       (stb_thrd_en_g[3]),      // Templated
4616
                       .cpx_st_ack_tid  (cpx_st_ack_tid3),       // Templated
4617
                       .pcx_rq_for_stb  (pcx_rq_for_stb[3]),     // Templated
4618
                       .st_ack_dq_stb   (lsu_st_ack_dq_stb[3]),  // Templated
4619
                       .stb_flush_st_g  (stb_flush_st_g[3]),     // Templated
4620
                       .stb_cam_wvld_m  (stb_cam_wvld_m[3]),     // Templated
4621
                       .lsu_blk_st_m    (lsu_blk_st_m),
4622
                       .tlb_pgnum_g     (tlb_pgnum_buf2[39:37]), // Templated
4623
                       .pcx_req_squash  (lsu_pcx_req_squash3),   // Templated
4624
                       .flshinst_rst    (lsu_dfq_flsh_cmplt[3]), // Templated
4625
                       .lsu_stbctl_flush_pipe_w(lsu_stbctl_flush_pipe_w),
4626
                       .flsh_inst_m     (flsh_inst_m),
4627
                       .stb_state_si_0  (stb3_state_si_0[3:2]),  // Templated
4628
                       .stb_state_si_1  (stb3_state_si_1[3:2]),  // Templated
4629
                       .stb_state_si_2  (stb3_state_si_2[3:2]),  // Templated
4630
                       .stb_state_si_3  (stb3_state_si_3[3:2]),  // Templated
4631
                       .stb_state_si_4  (stb3_state_si_4[3:2]),  // Templated
4632
                       .stb_state_si_5  (stb3_state_si_5[3:2]),  // Templated
4633
                       .stb_state_si_6  (stb3_state_si_6[3:2]),  // Templated
4634
                       .stb_state_si_7  (stb3_state_si_7[3:2]),  // Templated
4635
                       .stb_state_rtype_0(stb3_state_rtype_0[2:1]), // Templated
4636
                       .stb_state_rtype_1(stb3_state_rtype_1[2:1]), // Templated
4637
                       .stb_state_rtype_2(stb3_state_rtype_2[2:1]), // Templated
4638
                       .stb_state_rtype_3(stb3_state_rtype_3[2:1]), // Templated
4639
                       .stb_state_rtype_4(stb3_state_rtype_4[2:1]), // Templated
4640
                       .stb_state_rtype_5(stb3_state_rtype_5[2:1]), // Templated
4641
                       .stb_state_rtype_6(stb3_state_rtype_6[2:1]), // Templated
4642
                       .stb_state_rtype_7(stb3_state_rtype_7[2:1]), // Templated
4643
                       .stb_state_rmo   (stb3_state_rmo[7:0]),   // Templated
4644
                       .stb_alt_sel     (lsu_blk_st_m),          // Templated
4645
                       .stb_alt_addr    (lsu_blkst_pgnum_m[39:37]), // Templated
4646
                       .lsu_dtlb_bypass_e(lsu_dtlb_bypass_e),
4647
                       .tlb_cam_hit     (tlb_cam_hit),
4648
                       .st_dtlb_perr_g  (lsu_st_dtlb_perr_g[3]), // Templated
4649
                       .lsu_outstanding_rmo_st_max(lsu_outstanding_rmo_st_max[3])); // Templated
4650
lsu_stb_ctldp stb_ctldp3  (
4651
                           .so          (short_so1),
4652
                           .si          (short_scan1_8),
4653
                           /*AUTOINST*/
4654
                           // Outputs
4655
                           .stb_state_si_0(stb3_state_si_0[3:2]), // Templated
4656
                           .stb_state_si_1(stb3_state_si_1[3:2]), // Templated
4657
                           .stb_state_si_2(stb3_state_si_2[3:2]), // Templated
4658
                           .stb_state_si_3(stb3_state_si_3[3:2]), // Templated
4659
                           .stb_state_si_4(stb3_state_si_4[3:2]), // Templated
4660
                           .stb_state_si_5(stb3_state_si_5[3:2]), // Templated
4661
                           .stb_state_si_6(stb3_state_si_6[3:2]), // Templated
4662
                           .stb_state_si_7(stb3_state_si_7[3:2]), // Templated
4663
                           .stb_state_rtype_0(stb3_state_rtype_0[2:1]), // Templated
4664
                           .stb_state_rtype_1(stb3_state_rtype_1[2:1]), // Templated
4665
                           .stb_state_rtype_2(stb3_state_rtype_2[2:1]), // Templated
4666
                           .stb_state_rtype_3(stb3_state_rtype_3[2:1]), // Templated
4667
                           .stb_state_rtype_4(stb3_state_rtype_4[2:1]), // Templated
4668
                           .stb_state_rtype_5(stb3_state_rtype_5[2:1]), // Templated
4669
                           .stb_state_rtype_6(stb3_state_rtype_6[2:1]), // Templated
4670
                           .stb_state_rtype_7(stb3_state_rtype_7[2:1]), // Templated
4671
                           .stb_state_rmo(stb3_state_rmo[7:0]),  // Templated
4672
                           // Inputs
4673
                           .rclk        (clk),                   // Templated
4674
                           .se          (se),
4675
                           .stb_clk_en_l(stb3_clk_en_l[7:0]),    // Templated
4676
                           .lsu_stb_va_m(lsu_stb_va_m[7:6]),
4677
                           .lsu_st_rq_type_m(lsu_st_rq_type_m[2:1]),
4678
                           .lsu_st_rmo_m(lsu_st_rmo_m));
4679
`endif // !`ifdef FPGA_SYN_1THREAD
4680
 
4681
/*
4682
lsu_qdp1 AUTO_TEMPLATE (
4683
        .tlb_pgnum            (tlb_pgnum_buf[39:13]),
4684
        .rst_tri_en           (mux_drive_disable),
4685
        .lsu_ldst_va_m         (lsu_ldst_va_m_buf[47:0]),
4686
        .rclk                  (clk),
4687
        .dfq_byp_sel           (lsu_dfq_byp_tid_d1_sel[3:0]),
4688
        .ld_pcx_rq_sel         (lsu_ld_pcx_rq_mxsel[3:0]),
4689
        .lmq_byp_ldxa_sel0     (lmq_byp_ldxa_mxsel0[2:0]),
4690
        .lmq_byp_ldxa_sel1     (lmq_byp_ldxa_mxsel1[2:0]),
4691
        .lmq_byp_ldxa_sel2     (lmq_byp_ldxa_mxsel2[2:0]),
4692
        .lmq_byp_ldxa_sel3     (lmq_byp_ldxa_mxsel3[2:0]),
4693
        .lmq_byp_data_sel0     (lmq_byp_data_mxsel0[3:0]),
4694
        .lmq_byp_data_sel1     (lmq_byp_data_mxsel1[3:0]),
4695
        .lmq_byp_data_sel2     (lmq_byp_data_mxsel2[3:0]),
4696
        .lmq_byp_data_sel3     (lmq_byp_data_mxsel3[3:0]),
4697
        .ld_thrd_byp_sel_m     (ld_thrd_byp_mxsel_m[3:0]),
4698
        .ifu_pcx_pkt           (ifu_lsu_pcxpkt_e[51:0]),
4699
        .lmq_byp_misc_sel      (lsu_lmq_byp_misc_sel[3:0]),
4700
        .lsu_dcache_rdata_w    (dcache_rdata_wb_buf[63:0]));
4701
*/
4702
`ifdef FPGA_SYN_1THREAD
4703
 
4704
lsu_qdp1  qdp1  (
4705
                 .so                    (short_scan0_9),
4706
                 .si                    (short_scan0_8),
4707
                             .lsu_iobrdge_rd_data         ({16'b0,lsu_iobrdge_rd_data[27:0]}),
4708
                             .dtag_wdata_m                    (dtag_wdata_m[29:0]),
4709
                 /*AUTOINST*/
4710
                 // Outputs
4711
                 .lsu_va_match_b47_b32_m(lsu_va_match_b47_b32_m),
4712
                 .lsu_va_match_b31_b3_m (lsu_va_match_b31_b3_m),
4713
                 .lsu_va_wtchpt_addr    (lsu_va_wtchpt_addr[47:3]),
4714
                 .spc_pcx_data_pa       (spc_pcx_data_pa[`PCX_WIDTH-1:0]),
4715
                 .lmq0_byp_misc_sz      (lmq0_byp_misc_sz[1:0]),
4716
                 .lmq1_byp_misc_sz      (lmq1_byp_misc_sz[1:0]),
4717
                 .lmq2_byp_misc_sz      (lmq2_byp_misc_sz[1:0]),
4718
                 .lmq3_byp_misc_sz      (lmq3_byp_misc_sz[1:0]),
4719
                 .lsu_byp_misc_sz_e     (lsu_byp_misc_sz_e[1:0]),
4720
                 .lsu_l2fill_sign_extend_m(lsu_l2fill_sign_extend_m),
4721
                 .lsu_l2fill_bendian_m  (lsu_l2fill_bendian_m),
4722
                 .lmq0_l2fill_fpld      (lmq0_l2fill_fpld),
4723
                 .lmq1_l2fill_fpld      (lmq1_l2fill_fpld),
4724
                 .lmq2_l2fill_fpld      (lmq2_l2fill_fpld),
4725
                 .lmq3_l2fill_fpld      (lmq3_l2fill_fpld),
4726
                 .lmq_ld_rd1            (lmq_ld_rd1[4:0]),
4727
                 .lmq0_ncache_ld        (lmq0_ncache_ld),
4728
                 .lmq1_ncache_ld        (lmq1_ncache_ld),
4729
                 .lmq2_ncache_ld        (lmq2_ncache_ld),
4730
                 .lmq3_ncache_ld        (lmq3_ncache_ld),
4731
                 .lmq0_ld_rq_type       (lmq0_ld_rq_type[2:0]),
4732
                 .lmq1_ld_rq_type       (lmq1_ld_rq_type[2:0]),
4733
                 .lmq2_ld_rq_type       (lmq2_ld_rq_type[2:0]),
4734
                 .lmq3_ld_rq_type       (lmq3_ld_rq_type[2:0]),
4735
                 .lmq0_ldd_vld          (lmq0_ldd_vld),
4736
                 .lmq1_ldd_vld          (lmq1_ldd_vld),
4737
                 .lmq2_ldd_vld          (lmq2_ldd_vld),
4738
                 .lmq3_ldd_vld          (lmq3_ldd_vld),
4739
                 .ld_sec_hit_thrd0      (ld_sec_hit_thrd0),
4740
                 .ld_sec_hit_thrd1      (ld_sec_hit_thrd1),
4741
                 .ld_sec_hit_thrd2      (ld_sec_hit_thrd2),
4742
                 .ld_sec_hit_thrd3      (ld_sec_hit_thrd3),
4743
                 .lmq0_pcx_pkt_addr     (lmq0_pcx_pkt_addr[10:0]),
4744
                 .lmq1_pcx_pkt_addr     (lmq1_pcx_pkt_addr[10:0]),
4745
                 .lmq2_pcx_pkt_addr     (lmq2_pcx_pkt_addr[10:0]),
4746
                 .lmq3_pcx_pkt_addr     (lmq3_pcx_pkt_addr[10:0]),
4747
                 .lsu_mmu_rs3_data_g    (lsu_mmu_rs3_data_g[63:0]),
4748
                 .lsu_tlu_rs3_data_g    (lsu_tlu_rs3_data_g[63:0]),
4749
                 .lsu_diagnstc_wr_data_b0(lsu_diagnstc_wr_data_b0),
4750
                 .lsu_diagnstc_wr_data_e(lsu_diagnstc_wr_data_e[63:0]),
4751
                 .lsu_ifu_stxa_data     (lsu_ifu_stxa_data[47:0]),
4752
                 .lsu_ifu_ld_icache_index(lsu_ifu_ld_icache_index[11:5]),
4753
                 .lsu_ifu_ld_pcxpkt_tid (lsu_ifu_ld_pcxpkt_tid[1:0]),
4754
                 .lsu_error_pa_m        (lsu_error_pa_m[28:0]),
4755
                 .lsu_pref_pcx_req      (lsu_pref_pcx_req),
4756
                 .st_rs3_data_g         (st_rs3_data_g[63:0]),
4757
                 .lsu_ldst_va_way_g     (lsu_ldst_va_way_g[1:0]),
4758
                 .dcache_alt_data_w0_m  (dcache_alt_data_w0_m[63:0]),
4759
                 // Inputs
4760
                 .rclk                  (clk),                   // Templated
4761
                 .se                    (se),
4762
                 .sehold                (sehold),
4763
                 .lsu_dcache_iob_rd_w   (lsu_dcache_iob_rd_w),
4764
                 .lsu_ramtest_rd_w      (lsu_ramtest_rd_w),
4765
                 .lsu_pcx_rq_sz_b3      (lsu_pcx_rq_sz_b3),
4766
                 .lsu_diagnstc_data_sel (lsu_diagnstc_data_sel[3:0]),
4767
                 .pcx_pkt_src_sel       (pcx_pkt_src_sel[3:0]),
4768
                 .lsu_stb_pcx_rvld_d1   (lsu_stb_pcx_rvld_d1),
4769
                 .imiss_pcx_mx_sel      (imiss_pcx_mx_sel),
4770
                 .fwd_int_fp_pcx_mx_sel (fwd_int_fp_pcx_mx_sel[2:0]),
4771
                 .spu_lsu_ldst_pckt     (spu_lsu_ldst_pckt[`PCX_WIDTH-1:0]),
4772
                 .tlu_lsu_pcxpkt        (tlu_lsu_pcxpkt[25:0]),
4773
                 .const_cpuid           (const_cpuid[2:0]),
4774
                 .ifu_pcx_pkt           (ifu_lsu_pcxpkt_e[51:0]), // Templated
4775
                 .lmq_byp_data_en_w2    (lmq_byp_data_en_w2[3:0]),
4776
                 .lmq_byp_data_sel0     (lmq_byp_data_mxsel0[3:0]), // Templated
4777
                 .lmq_byp_data_sel1     (lmq_byp_data_mxsel1[3:0]), // Templated
4778
                 .lmq_byp_data_sel2     (lmq_byp_data_mxsel2[3:0]), // Templated
4779
                 .lmq_byp_data_sel3     (lmq_byp_data_mxsel3[3:0]), // Templated
4780
                 .lmq_byp_ldxa_sel0     (lmq_byp_ldxa_mxsel0[2:0]), // Templated
4781
                 .lmq_byp_ldxa_sel1     (lmq_byp_ldxa_mxsel1[2:0]), // Templated
4782
                 .lmq_byp_ldxa_sel2     (lmq_byp_ldxa_mxsel2[2:0]), // Templated
4783
                 .lmq_byp_ldxa_sel3     (lmq_byp_ldxa_mxsel3[2:0]), // Templated
4784
                 .lmq_byp_data_fmx_sel  (lmq_byp_data_fmx_sel[3:0]),
4785
                 .exu_lsu_rs3_data_e    (exu_lsu_rs3_data_e[63:0]),
4786
                 .ifu_lsu_ldxa_data_w2  (ifu_lsu_ldxa_data_w2[63:0]),
4787
                 .tlu_lsu_int_ldxa_data_w2(tlu_lsu_int_ldxa_data_w2[63:0]),
4788
                 .spu_lsu_ldxa_data_w2  (spu_lsu_ldxa_data_w2[63:0]),
4789
                 .stb_rdata_ramd        (stb_rdata_ramd[75:0]),
4790
                 .stb_rdata_ramc        (stb_rdata_ramc[44:9]),
4791
                 .lmq_byp_misc_sel      (lsu_lmq_byp_misc_sel[3:0]), // Templated
4792
                 .dfq_byp_sel           (lsu_dfq_byp_tid_d1_sel[3:0]), // Templated
4793
                 .ld_pcx_rq_sel         (lsu_ld_pcx_rq_mxsel[3:0]), // Templated
4794
                 .ld_pcx_thrd           (ld_pcx_thrd[1:0]),
4795
                 .lmq_enable            (lmq_enable[3:0]),
4796
                 .ld_pcx_pkt_g          (ld_pcx_pkt_g[`LMQ_WIDTH-1:40]),
4797
                 .ffu_lsu_data          (ffu_lsu_data[80:0]),
4798
                 .lsu_tlb_st_sel_m      (lsu_tlb_st_sel_m[3:0]),
4799
                 .lsu_pcx_fwd_pkt       (lsu_pcx_fwd_pkt[107:0]),
4800
                 .lsu_pcx_fwd_reply     (lsu_pcx_fwd_reply),
4801
                 .lsu_diagnstc_dtagv_prty_invrt_e(lsu_diagnstc_dtagv_prty_invrt_e),
4802
                 .lsu_misc_rdata_w2     (lsu_misc_rdata_w2[63:0]),
4803
                 .lsu_stb_rd_tid        (lsu_stb_rd_tid[1:0]),
4804
                 .lsu_iobrdge_rply_data_sel(lsu_iobrdge_rply_data_sel[2:0]),
4805
                 .lsu_atomic_pkt2_bsel_g(lsu_atomic_pkt2_bsel_g[2:0]),
4806
                 .lsu_pcx_ld_dtag_perror_w2(lsu_pcx_ld_dtag_perror_w2),
4807
                 .lsu_dcache_rdata_w    (dcache_rdata_wb_buf[63:0]), // Templated
4808
                 .lsu_va_wtchpt0_wr_en_l(lsu_va_wtchpt0_wr_en_l),
4809
                 .lsu_va_wtchpt1_wr_en_l(lsu_va_wtchpt1_wr_en_l),
4810
                 .lsu_va_wtchpt2_wr_en_l(lsu_va_wtchpt2_wr_en_l),
4811
                 .lsu_va_wtchpt3_wr_en_l(lsu_va_wtchpt3_wr_en_l),
4812
                 .thread0_m             (thread0_m),
4813
                 .thread1_m             (1'b0),
4814
                 .thread2_m             (1'b0),
4815
                 .thread3_m             (1'b0),
4816
                 .lsu_thread_g          (lsu_thread_g[3:0]),
4817
                 .lsu_ldst_va_m         (lsu_ldst_va_m_buf[47:0]), // Templated
4818
                 .tlb_pgnum             (tlb_pgnum_buf[39:13]),  // Templated
4819
                 .lsu_bld_pcx_rq        (lsu_bld_pcx_rq),
4820
                 .lsu_bld_rq_addr       (lsu_bld_rq_addr[1:0]),
4821
                 .lmq0_pcx_pkt_way      (lmq0_pcx_pkt_way[1:0]),
4822
                 .lmq1_pcx_pkt_way      (2'b00),
4823
                 .lmq2_pcx_pkt_way      (2'b00),
4824
                 .lmq3_pcx_pkt_way      (2'b00),
4825
                 .lsu_dfq_ld_vld        (lsu_dfq_ld_vld),
4826
                 .lsu_ifu_asi_data_en_l (lsu_ifu_asi_data_en_l),
4827
                 .lsu_ld0_spec_vld_kill_w2(lsu_ld0_spec_vld_kill_w2),
4828
                 .lsu_ld1_spec_vld_kill_w2(1'b0),
4829
                 .lsu_ld2_spec_vld_kill_w2(1'b0),
4830
                 .lsu_ld3_spec_vld_kill_w2(1'b0),
4831
                 .lsu_fwd_rply_sz1_unc  (lsu_fwd_rply_sz1_unc),
4832
                 .rst_tri_en            (mux_drive_disable),     // Templated
4833
                 .lsu_l2fill_data       (lsu_l2fill_data[63:0]),
4834
                 .l2fill_vld_m          (l2fill_vld_m),
4835
                 .ld_thrd_byp_sel_m     (ld_thrd_byp_mxsel_m[3:0])); // Templated
4836
`else
4837
 
4838
lsu_qdp1  qdp1  (
4839
                 .so                    (short_scan0_9),
4840
                 .si                    (short_scan0_8),
4841
                             .lsu_iobrdge_rd_data         ({16'b0,lsu_iobrdge_rd_data[27:0]}),
4842
                             .dtag_wdata_m                    (dtag_wdata_m[29:0]),
4843
                 /*AUTOINST*/
4844
                 // Outputs
4845
                 .lsu_va_match_b47_b32_m(lsu_va_match_b47_b32_m),
4846
                 .lsu_va_match_b31_b3_m (lsu_va_match_b31_b3_m),
4847
                 .lsu_va_wtchpt_addr    (lsu_va_wtchpt_addr[47:3]),
4848
                 .spc_pcx_data_pa       (spc_pcx_data_pa[`PCX_WIDTH-1:0]),
4849
                 .lmq0_byp_misc_sz      (lmq0_byp_misc_sz[1:0]),
4850
                 .lmq1_byp_misc_sz      (lmq1_byp_misc_sz[1:0]),
4851
                 .lmq2_byp_misc_sz      (lmq2_byp_misc_sz[1:0]),
4852
                 .lmq3_byp_misc_sz      (lmq3_byp_misc_sz[1:0]),
4853
                 .lsu_byp_misc_sz_e     (lsu_byp_misc_sz_e[1:0]),
4854
                 .lsu_l2fill_sign_extend_m(lsu_l2fill_sign_extend_m),
4855
                 .lsu_l2fill_bendian_m  (lsu_l2fill_bendian_m),
4856
                 .lmq0_l2fill_fpld      (lmq0_l2fill_fpld),
4857
                 .lmq1_l2fill_fpld      (lmq1_l2fill_fpld),
4858
                 .lmq2_l2fill_fpld      (lmq2_l2fill_fpld),
4859
                 .lmq3_l2fill_fpld      (lmq3_l2fill_fpld),
4860
                 .lmq_ld_rd1            (lmq_ld_rd1[4:0]),
4861
                 .lmq0_ncache_ld        (lmq0_ncache_ld),
4862
                 .lmq1_ncache_ld        (lmq1_ncache_ld),
4863
                 .lmq2_ncache_ld        (lmq2_ncache_ld),
4864
                 .lmq3_ncache_ld        (lmq3_ncache_ld),
4865
                 .lmq0_ld_rq_type       (lmq0_ld_rq_type[2:0]),
4866
                 .lmq1_ld_rq_type       (lmq1_ld_rq_type[2:0]),
4867
                 .lmq2_ld_rq_type       (lmq2_ld_rq_type[2:0]),
4868
                 .lmq3_ld_rq_type       (lmq3_ld_rq_type[2:0]),
4869
                 .lmq0_ldd_vld          (lmq0_ldd_vld),
4870
                 .lmq1_ldd_vld          (lmq1_ldd_vld),
4871
                 .lmq2_ldd_vld          (lmq2_ldd_vld),
4872
                 .lmq3_ldd_vld          (lmq3_ldd_vld),
4873
                 .ld_sec_hit_thrd0      (ld_sec_hit_thrd0),
4874
                 .ld_sec_hit_thrd1      (ld_sec_hit_thrd1),
4875
                 .ld_sec_hit_thrd2      (ld_sec_hit_thrd2),
4876
                 .ld_sec_hit_thrd3      (ld_sec_hit_thrd3),
4877
                 .lmq0_pcx_pkt_addr     (lmq0_pcx_pkt_addr[10:0]),
4878
                 .lmq1_pcx_pkt_addr     (lmq1_pcx_pkt_addr[10:0]),
4879
                 .lmq2_pcx_pkt_addr     (lmq2_pcx_pkt_addr[10:0]),
4880
                 .lmq3_pcx_pkt_addr     (lmq3_pcx_pkt_addr[10:0]),
4881
                 .lsu_mmu_rs3_data_g    (lsu_mmu_rs3_data_g[63:0]),
4882
                 .lsu_tlu_rs3_data_g    (lsu_tlu_rs3_data_g[63:0]),
4883
                 .lsu_diagnstc_wr_data_b0(lsu_diagnstc_wr_data_b0),
4884
                 .lsu_diagnstc_wr_data_e(lsu_diagnstc_wr_data_e[63:0]),
4885
                 .lsu_ifu_stxa_data     (lsu_ifu_stxa_data[47:0]),
4886
                 .lsu_ifu_ld_icache_index(lsu_ifu_ld_icache_index[11:5]),
4887
                 .lsu_ifu_ld_pcxpkt_tid (lsu_ifu_ld_pcxpkt_tid[1:0]),
4888
                 .lsu_error_pa_m        (lsu_error_pa_m[28:0]),
4889
                 .lsu_pref_pcx_req      (lsu_pref_pcx_req),
4890
                 .st_rs3_data_g         (st_rs3_data_g[63:0]),
4891
                 .lsu_ldst_va_way_g     (lsu_ldst_va_way_g[1:0]),
4892
                 .dcache_alt_data_w0_m  (dcache_alt_data_w0_m[63:0]),
4893
                 // Inputs
4894
                 .rclk                  (clk),                   // Templated
4895
                 .se                    (se),
4896
                 .sehold                (sehold),
4897
                 .lsu_dcache_iob_rd_w   (lsu_dcache_iob_rd_w),
4898
                 .lsu_ramtest_rd_w      (lsu_ramtest_rd_w),
4899
                 .lsu_pcx_rq_sz_b3      (lsu_pcx_rq_sz_b3),
4900
                 .lsu_diagnstc_data_sel (lsu_diagnstc_data_sel[3:0]),
4901
                 .pcx_pkt_src_sel       (pcx_pkt_src_sel[3:0]),
4902
                 .lsu_stb_pcx_rvld_d1   (lsu_stb_pcx_rvld_d1),
4903
                 .imiss_pcx_mx_sel      (imiss_pcx_mx_sel),
4904
                 .fwd_int_fp_pcx_mx_sel (fwd_int_fp_pcx_mx_sel[2:0]),
4905
                 .spu_lsu_ldst_pckt     (spu_lsu_ldst_pckt[`PCX_WIDTH-1:0]),
4906
                 .tlu_lsu_pcxpkt        (tlu_lsu_pcxpkt[25:0]),
4907
                 .const_cpuid           (const_cpuid[2:0]),
4908
                 .ifu_pcx_pkt           (ifu_lsu_pcxpkt_e[51:0]), // Templated
4909
                 .lmq_byp_data_en_w2    (lmq_byp_data_en_w2[3:0]),
4910
                 .lmq_byp_data_sel0     (lmq_byp_data_mxsel0[3:0]), // Templated
4911
                 .lmq_byp_data_sel1     (lmq_byp_data_mxsel1[3:0]), // Templated
4912
                 .lmq_byp_data_sel2     (lmq_byp_data_mxsel2[3:0]), // Templated
4913
                 .lmq_byp_data_sel3     (lmq_byp_data_mxsel3[3:0]), // Templated
4914
                 .lmq_byp_ldxa_sel0     (lmq_byp_ldxa_mxsel0[2:0]), // Templated
4915
                 .lmq_byp_ldxa_sel1     (lmq_byp_ldxa_mxsel1[2:0]), // Templated
4916
                 .lmq_byp_ldxa_sel2     (lmq_byp_ldxa_mxsel2[2:0]), // Templated
4917
                 .lmq_byp_ldxa_sel3     (lmq_byp_ldxa_mxsel3[2:0]), // Templated
4918
                 .lmq_byp_data_fmx_sel  (lmq_byp_data_fmx_sel[3:0]),
4919
                 .exu_lsu_rs3_data_e    (exu_lsu_rs3_data_e[63:0]),
4920
                 .ifu_lsu_ldxa_data_w2  (ifu_lsu_ldxa_data_w2[63:0]),
4921
                 .tlu_lsu_int_ldxa_data_w2(tlu_lsu_int_ldxa_data_w2[63:0]),
4922
                 .spu_lsu_ldxa_data_w2  (spu_lsu_ldxa_data_w2[63:0]),
4923
                 .stb_rdata_ramd        (stb_rdata_ramd[75:0]),
4924
                 .stb_rdata_ramc        (stb_rdata_ramc[44:9]),
4925
                 .lmq_byp_misc_sel      (lsu_lmq_byp_misc_sel[3:0]), // Templated
4926
                 .dfq_byp_sel           (lsu_dfq_byp_tid_d1_sel[3:0]), // Templated
4927
                 .ld_pcx_rq_sel         (lsu_ld_pcx_rq_mxsel[3:0]), // Templated
4928
                 .ld_pcx_thrd           (ld_pcx_thrd[1:0]),
4929
                 .lmq_enable            (lmq_enable[3:0]),
4930
                 .ld_pcx_pkt_g          (ld_pcx_pkt_g[`LMQ_WIDTH-1:40]),
4931
                 .ffu_lsu_data          (ffu_lsu_data[80:0]),
4932
                 .lsu_tlb_st_sel_m      (lsu_tlb_st_sel_m[3:0]),
4933
                 .lsu_pcx_fwd_pkt       (lsu_pcx_fwd_pkt[107:0]),
4934
                 .lsu_pcx_fwd_reply     (lsu_pcx_fwd_reply),
4935
                 .lsu_diagnstc_dtagv_prty_invrt_e(lsu_diagnstc_dtagv_prty_invrt_e),
4936
                 .lsu_misc_rdata_w2     (lsu_misc_rdata_w2[63:0]),
4937
                 .lsu_stb_rd_tid        (lsu_stb_rd_tid[1:0]),
4938
                 .lsu_iobrdge_rply_data_sel(lsu_iobrdge_rply_data_sel[2:0]),
4939
                 .lsu_atomic_pkt2_bsel_g(lsu_atomic_pkt2_bsel_g[2:0]),
4940
                 .lsu_pcx_ld_dtag_perror_w2(lsu_pcx_ld_dtag_perror_w2),
4941
                 .lsu_dcache_rdata_w    (dcache_rdata_wb_buf[63:0]), // Templated
4942
                 .lsu_va_wtchpt0_wr_en_l(lsu_va_wtchpt0_wr_en_l),
4943
                 .lsu_va_wtchpt1_wr_en_l(lsu_va_wtchpt1_wr_en_l),
4944
                 .lsu_va_wtchpt2_wr_en_l(lsu_va_wtchpt2_wr_en_l),
4945
                 .lsu_va_wtchpt3_wr_en_l(lsu_va_wtchpt3_wr_en_l),
4946
                 .thread0_m             (thread0_m),
4947
                 .thread1_m             (thread1_m),
4948
                 .thread2_m             (thread2_m),
4949
                 .thread3_m             (thread3_m),
4950
                 .lsu_thread_g          (lsu_thread_g[3:0]),
4951
                 .lsu_ldst_va_m         (lsu_ldst_va_m_buf[47:0]), // Templated
4952
                 .tlb_pgnum             (tlb_pgnum_buf[39:13]),  // Templated
4953
                 .lsu_bld_pcx_rq        (lsu_bld_pcx_rq),
4954
                 .lsu_bld_rq_addr       (lsu_bld_rq_addr[1:0]),
4955
                 .lmq0_pcx_pkt_way      (lmq0_pcx_pkt_way[1:0]),
4956
                 .lmq1_pcx_pkt_way      (lmq1_pcx_pkt_way[1:0]),
4957
                 .lmq2_pcx_pkt_way      (lmq2_pcx_pkt_way[1:0]),
4958
                 .lmq3_pcx_pkt_way      (lmq3_pcx_pkt_way[1:0]),
4959
                 .lsu_dfq_ld_vld        (lsu_dfq_ld_vld),
4960
                 .lsu_ifu_asi_data_en_l (lsu_ifu_asi_data_en_l),
4961
                 .lsu_ld0_spec_vld_kill_w2(lsu_ld0_spec_vld_kill_w2),
4962
                 .lsu_ld1_spec_vld_kill_w2(lsu_ld1_spec_vld_kill_w2),
4963
                 .lsu_ld2_spec_vld_kill_w2(lsu_ld2_spec_vld_kill_w2),
4964
                 .lsu_ld3_spec_vld_kill_w2(lsu_ld3_spec_vld_kill_w2),
4965
                 .lsu_fwd_rply_sz1_unc  (lsu_fwd_rply_sz1_unc),
4966
                 .rst_tri_en            (mux_drive_disable),     // Templated
4967
                 .lsu_l2fill_data       (lsu_l2fill_data[63:0]),
4968
                 .l2fill_vld_m          (l2fill_vld_m),
4969
                 .ld_thrd_byp_sel_m     (ld_thrd_byp_mxsel_m[3:0])); // Templated
4970
`endif // !`ifdef FPGA_SYN_1THREAD
4971
 
4972
/*
4973
lsu_qdp2 AUTO_TEMPLATE (
4974
       .rst_tri_en              (mux_drive_disable),
4975
       .dfq_byp_ff_en          (lsu_dfq_byp_ff_en),
4976
       .dfq_rd_vld_d1          (lsu_dfq_rd_vld_d1),
4977
       .lsu_dfq_ld_vld         (lsu_qdp2_dfq_ld_vld),
4978
       .lsu_dfq_st_vld         (lsu_qdp2_dfq_st_vld),
4979
       .rclk                    (clk),
4980
       .lsu_ifill_pkt          (lsu_ifu_cpxpkt_i1[`CPX_VLD-1:0]),
4981
       .lsu_cpx_pkt_vld        ());
4982
*/
4983
 
4984
lsu_qdp2 qdp2  (
4985
                .so                     (so1),
4986
                .si                     (scan1_4),
4987
                .dfq_wdata              (dfq_wdata[`DFQ_WIDTH:0]),
4988
                /*AUTOINST*/
4989
                // Outputs
4990
                .lsu_l2fill_data        (lsu_l2fill_data[63:0]),
4991
                .dfq_tid                (dfq_tid[1:0]),
4992
                .lsu_dcache_fill_data_e (lsu_dcache_fill_data_e[143:0]),
4993
                .lsu_ifill_pkt          (lsu_ifu_cpxpkt_i1[`CPX_VLD-1:0]), // Templated
4994
                .lsu_pcx_fwd_pkt        (lsu_pcx_fwd_pkt[107:0]),
4995
                .lsu_cpx_pkt_strm_ack   (lsu_cpx_pkt_strm_ack),
4996
                .lsu_cpx_pkt_vld        (),                      // Templated
4997
                .lsu_cpx_pkt_atm_st_cmplt(lsu_cpx_pkt_atm_st_cmplt),
4998
                .lsu_cpx_pkt_tid        (lsu_cpx_pkt_tid[1:0]),
4999
                .lsu_cpx_pkt_invwy      (lsu_cpx_pkt_invwy[1:0]),
5000
                .lsu_cpx_pkt_inv_pa     (lsu_cpx_pkt_inv_pa[4:0]),
5001
                .lsu_cpx_pkt_l2miss     (lsu_cpx_pkt_l2miss),
5002
                .lsu_dfq_byp_invwy_vld  (lsu_dfq_byp_invwy_vld),
5003
                .lsu_dfq_byp_type       (lsu_dfq_byp_type[5:0]),
5004
                .lsu_dfq_byp_flush      (lsu_dfq_byp_flush),
5005
                .lsu_dfq_byp_tid        (lsu_dfq_byp_tid[1:0]),
5006
                .lsu_cpu_inv_data_b13to9(lsu_cpu_inv_data_b13to9[13:9]),
5007
                .lsu_cpu_inv_data_b7to2 (lsu_cpu_inv_data_b7to2[7:2]),
5008
                .lsu_cpu_inv_data_b0    (lsu_cpu_inv_data_b0),
5009
                .lsu_iobrdge_wr_data    (lsu_iobrdge_wr_data[43:0]),
5010
                .lsu_iobrdge_tap_rq_type(lsu_iobrdge_tap_rq_type[8:0]),
5011
                .lsu_cpx_pkt_perror_dinv(lsu_cpx_pkt_perror_dinv),
5012
                .lsu_cpx_pkt_perror_iinv(lsu_cpx_pkt_perror_iinv),
5013
                .lsu_cpx_pkt_perror_set (lsu_cpx_pkt_perror_set[1:0]),
5014
                .lsu_cpx_pkt_ld_err     (lsu_cpx_pkt_ld_err[1:0]),
5015
                .lsu_dfq_byp_binit_st   (lsu_dfq_byp_binit_st),
5016
                .lsu_cpx_pkt_binit_st   (lsu_cpx_pkt_binit_st),
5017
                .lsu_cpx_pkt_prefetch   (lsu_cpx_pkt_prefetch),
5018
                .lsu_cpx_pkt_prefetch2  (lsu_cpx_pkt_prefetch2),
5019
                .lsu_dfq_byp_cpx_inv    (lsu_dfq_byp_cpx_inv),
5020
                .lsu_dfq_byp_stack_adr_b54(lsu_dfq_byp_stack_adr_b54[1:0]),
5021
                .lsu_dfq_byp_stack_wrway(lsu_dfq_byp_stack_wrway[1:0]),
5022
                .lsu_dfq_byp_atm        (lsu_dfq_byp_atm),
5023
                .dcache_iob_addr_e      (dcache_iob_addr_e[7:0]),
5024
                .st_dcfill_addr         (st_dcfill_addr[10:0]),
5025
                .lsu_st_way_e           (lsu_st_way_e[1:0]),
5026
                .lsu_dcache_iob_way_e   (lsu_dcache_iob_way_e[1:0]),
5027
                .lsu_st_dcfill_size_e   (lsu_st_dcfill_size_e[1:0]),
5028
                .lsu_cpx_pkt_ifill_type (lsu_cpx_pkt_ifill_type),
5029
                .lsu_cpx_pkt_atomic     (lsu_cpx_pkt_atomic),
5030
                // Inputs
5031
                .rst_tri_en             (mux_drive_disable),     // Templated
5032
                .rclk                   (clk),                   // Templated
5033
                .se                     (se),
5034
                .lsu_dfill_data_sel_hi  (lsu_dfill_data_sel_hi),
5035
                .dfq_byp_ff_en          (lsu_dfq_byp_ff_en),     // Templated
5036
                .dfq_rd_vld_d1          (lsu_dfq_rd_vld_d1),     // Templated
5037
                .dfq_rdata              (dfq_rdata[`DFQ_WIDTH:0]),
5038
                .cpx_spc_data_cx        (cpx_spc_data_cx[`CPX_WIDTH-1:0]),
5039
                .stb_rdata_ramd_buf     (stb_rdata_ramd_buf[69:0]),
5040
                .stb_rdata_ramd_b74_buf (stb_rdata_ramd_b74_buf),
5041
                .stb_rdata_ramc_buf     (stb_rdata_ramc_buf[14:9]),
5042
                .lsu_stb_pcx_rvld_d1    (lsu_stb_pcx_rvld_d1),
5043
                .lsu_diagnstc_wr_data_e (lsu_diagnstc_wr_data_e[63:0]),
5044
                .lsu_diagnstc_dc_prty_invrt_e(lsu_diagnstc_dc_prty_invrt_e[7:0]),
5045
                .mbist_write_data       (mbist_write_data[7:0]),
5046
                .cpx_fwd_pkt_en_cx      (cpx_fwd_pkt_en_cx),
5047
                .lsu_cpu_dcd_sel        (lsu_cpu_dcd_sel[7:0]),
5048
                .lsu_cpu_uhlf_sel       (lsu_cpu_uhlf_sel),
5049
                .lsu_cpxpkt_type_dcd_cx (lsu_cpxpkt_type_dcd_cx[5:0]),
5050
                .lsu_dc_iob_access_e    (lsu_dc_iob_access_e),
5051
                .lsu_dcfill_data_mx_sel_e(lsu_dcfill_data_mx_sel_e),
5052
                .lsu_cpx_spc_inv_vld    (lsu_cpx_spc_inv_vld),
5053
                .lsu_cpx_thrdid         (lsu_cpx_thrdid[3:0]),
5054
                .lsu_cpx_stack_dcfill_vld(lsu_cpx_stack_dcfill_vld),
5055
                .pcx_rq_for_stb_d1      (pcx_rq_for_stb_d1[3:0]),
5056
                .lsu_dfq_ld_vld         (lsu_qdp2_dfq_ld_vld),   // Templated
5057
                .lsu_dfq_st_vld         (lsu_qdp2_dfq_st_vld),   // Templated
5058
                .lsu_dfq_ldst_vld       (lsu_dfq_ldst_vld));
5059
 
5060
 
5061
/*
5062
bw_r_rf32x152b AUTO_TEMPLATE (
5063
                 .rst_tri_en           (mem_write_disable),
5064
                 .rclk                    (clk),
5065
                 .dout                  (dfq_rdata[151:0]),
5066
                 .wr_adr                (dfq_wptr[4:0]),
5067
                 .rd_adr                (dfq_rptr[4:0]),
5068
                 .wr_en                 (dfq_wptr_vld),
5069
                 .rd_en                 (dfq_rptr_vld),
5070
                 //.sehold                (),
5071
                 .reset_l               (arst_l));
5072
*/
5073
 
5074
bw_r_rf32x152b   dfq   (
5075
                 .din            ({dfq_wdata[151:131],
5076
                                   lsu_cpx_stack_dcfill_vld_b130,
5077
                                   dfq_wdata[129],
5078
                                   lsu_cpx_stack_icfill_vld,
5079
                                   dfq_wdata[127:0]}),
5080
                        .so             (short_so0),
5081
                        .si             (short_scan0_9),
5082
                 /*AUTOINST*/
5083
                        // Outputs
5084
                        .dout           (dfq_rdata[151:0]),      // Templated
5085
                        // Inputs
5086
                        .rd_adr         (dfq_rptr[4:0]),         // Templated
5087
                        .rd_en          (dfq_rptr_vld),          // Templated
5088
                        .wr_en          (dfq_wptr_vld),          // Templated
5089
                        .wr_adr         (dfq_wptr[4:0]),         // Templated
5090
                        .rclk           (clk),                   // Templated
5091
                        .reset_l        (arst_l),                // Templated
5092
                        .rst_tri_en     (mem_write_disable),     // Templated
5093
                        .sehold         (sehold),
5094
                        .se             (se));
5095
endmodule
5096
 
5097
// Local Variables:
5098
// verilog-library-directories:("." "../../../srams/rtl" "../../../common/rtl")
5099
// End:

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.