OpenCores
URL https://opencores.org/ocsvn/special_functions_unit/special_functions_unit/trunk

Subversion Repositories special_functions_unit

[/] [special_functions_unit/] [Open_source_SFU/] [log2_vhdl/] [parts/] [CLZ.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 divadnauj
--*************************************************************************--
2
-- Count Leading Zero/Ones
3
--*************************************************************************--
4
-- Universidad Pedagogica y Tecnologica de Colombia.
5
-- Facultad de ingenieria.
6
-- Escuela de ingenieria Electronica - extension Tunja.
7
-- 
8
-- Autor: Cristhian Fernando Moreno Manrique
9
-- Marzo 2020
10
--*************************************************************************--
11
--
12
--      MODE            0: Count Leading Zeros
13
--                              1: Count Leading Ones
14
--
15
--      DATA_BITS       only 2^x data bits: 2, 4, 8, ..., 128... 
16
--
17
--
18
--      o_MSB_zeros is activated when i_data is only 0's or only 1's for Count
19
--      Leading Zeros and Count Leading One's modes respectively.
20
-----------------------------------------------------------------------------   
21
library ieee;
22
        use ieee.std_logic_1164.all;
23
        use ieee.numeric_std.all;
24
        use work.log2_pkg.all;
25
 
26
 
27
entity CLZ is
28
        generic (MODE                   :               std_logic:= '0';
29
                                DATA_BITS       :               integer := 32);
30
        port      (i_data               : in    std_logic_vector(DATA_BITS-1 downto 0);
31
                                o_zeros         : out std_logic_vector(f_log2(DATA_BITS)-1 downto 0);
32
                                o_MSB_zeros     : out std_logic);
33
end CLZ;
34
-----------------------------------------------------------------------------   
35
 
36
architecture Behavioral of CLZ is
37
 
38
        signal s_data: std_logic_vector(i_data'left downto 0);
39
        signal s_zeros: std_logic_vector(o_zeros'left downto 0);
40
 
41
        type array_or is array (o_zeros'left downto 0) of std_logic_vector(i_data'left downto 0);
42
        signal w_or: array_or;
43
 
44
        -- w_and se utiliza para calcular o_MSB_zeros
45
        signal w_and                    : std_logic_vector(o_zeros'left downto 0);
46
        signal w_LSB_data               : std_logic;
47
        signal w_MSB_zeros      : std_logic;
48
 
49
begin
50
 
51
        -- MODE CONFIG ------------------------------------------------------------     
52
        MD0: if MODE = '0' generate
53
                s_data <= i_data;
54
        end generate MD0;
55
        MD1: if MODE = '1' generate
56
                s_data <= not(i_data);
57
        end generate MD1;
58
 
59
 
60
        -- calculate o_zeros ------------------------------------------------------     
61
        w_or(o_zeros'left) <= '0' & s_data(s_data'left downto 1);
62
 
63
        U: for i in o_zeros'left downto 1 generate
64
                signal aux: std_logic_vector(2**(i+1)-1 downto 0);
65
 
66
        begin
67
                aux(0) <= not(w_or(i)(0));
68
 
69
                UU: for ii in 1 to (2**(i+1)-2) generate
70
                         UU_impar:if ((ii+1) mod 2) = 0 generate
71
                                w_or(i-1)((ii+1)/2-1) <= w_or(i)(ii+1) or w_or(i)(ii);
72
                                aux(ii)<= w_or(i)(ii) or aux(ii-1);
73
                         end generate;
74
                         UU_par:if (ii mod 2) = 0 generate
75
                                aux(ii)<= not(w_or(i)(ii)) and aux(ii-1);
76
                         end generate;
77
                end generate UU;
78
 
79
                s_zeros((s_zeros'left)-i) <= aux(aux'left-1);
80
        end generate U;
81
 
82
        s_zeros(s_zeros'left) <= not(w_or(0)(0));
83
 
84
 
85
        --- calculate o_MSB_zeros -------------------------------------------------
86
        w_and(0) <= s_zeros(0);
87
 
88
        M: for i in 1 to s_zeros'left generate
89
                w_and(i) <= w_and(i-1) and s_zeros(i);
90
                o_zeros(i)      <= s_zeros(i) and not(w_MSB_zeros);
91
        end generate M;
92
 
93
        w_LSB_data      <= s_data(0);
94
        w_MSB_zeros     <= not(w_LSB_data) and w_and(w_and'left);
95
 
96
        -- result ------------------------------------------------------------------
97
        o_zeros(0) <= s_zeros(0) and not(w_MSB_zeros);
98
        o_MSB_zeros <=  w_MSB_zeros;
99
 
100
end Behavioral;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.