OpenCores
URL https://opencores.org/ocsvn/special_functions_unit/special_functions_unit/trunk

Subversion Repositories special_functions_unit

[/] [special_functions_unit/] [Open_source_SFU/] [log2_vhdl/] [parts/] [sum_ripple_carry_adder.vhd] - Blame information for rev 4

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 4 divadnauj
-- Nombre de archivo    : sum_ripple_carry_adder.vhd
2
--      Titulo                          : Sumador/restador punto fijo
3
-----------------------------------------------------------------------------   
4
-- Descripcion                  : Sumador/restador arquitectura Ripple Carry Adder.
5
--                                                        Permite aplicar complemento 1 a uno o ambos numeros
6
--                                                        de entrada.
7
--
8
--      WIDE                            : numero de bits de los numeros a operar
9
--              C1                                      : 3 -> complemento 1 a ambos numeros
10
--                                                        2 -> complemento 1 a numero 2
11
--                                                        1 -> complemento 1 a numero 1
12
--                                                        0 -> no aplicar C1
13
--
14
--      i_term1                 : Numero 1
15
--      i_term2                 : Numero 2
16
--    i_cin                             : acarreo de entrada
17
--      o_result                        : Resultado
18
--    o_cout                    : Acarreo de salida
19
--
20
-----------------------------------------------------------------------------   
21
-- Universidad Pedagogica y Tecnologica de Colombia.
22
-- Facultad de ingenieria.
23
-- Escuela de ingenieria Electronica - extension Tunja.
24
-- 
25
-- Autor: Cristhian Fernando Moreno Manrique
26
-- Marzo 2020
27
-----------------------------------------------------------------------------   
28
library ieee;
29
        use ieee.std_logic_1164.all;
30
 
31
 
32
entity sum_ripple_carry_adder is
33
 
34
        generic (WIDE           :               natural:= 32;
35
                                C1                      :               natural:= 0);                                                            -- sin complemento 1 por defecto
36
        port      (i_term1      : in    std_logic_vector(WIDE-1 downto 0);
37
                                i_term2 : in    std_logic_vector(WIDE-1 downto 0);
38
                                i_cin           : in    std_logic;
39
                                o_sum           : out   std_logic_vector(WIDE-1 downto 0);
40
                                o_cout  : out std_logic);
41
end entity;
42
-----------------------------------------------------------------------------                           
43
 
44
architecture main of sum_ripple_carry_adder is
45
 
46
        signal w_cout           :               std_logic_vector(WIDE-1 downto 0);
47
        signal w_term1          :               std_logic_vector(WIDE-1 downto 0);
48
        signal w_term2          :               std_logic_vector(WIDE-1 downto 0);
49
 
50
begin
51
 
52
        assert C1 > 3
53
                report "Opcion de C1 no disponible, intente con 0, 1, 2 o 3"
54
                severity note;
55
 
56
 
57
        BLOCK_A:
58
        for i in WIDE-1 downto 0 generate
59
 
60
                -----------------------------------------------------------------------
61
                -- configuracion de complemento a uno
62
                -----------------------------------------------------------------------
63
                MD0: if C1 = 0 generate
64
                        w_term1(i) <= i_term1(i);
65
                        w_term2(i) <= i_term2(i);
66
                end generate MD0;
67
                MD1: if C1 = 1 generate
68
                        w_term1(i) <= not(i_term1(i));
69
                        w_term2(i) <= i_term2(i);
70
                end generate MD1;
71
                MD2: if C1 = 2 generate
72
                        w_term1(i) <= i_term1(i);
73
                        w_term2(i) <= not(i_term2(i));
74
                end generate MD2;
75
                MD3: if C1 = 3 generate
76
                        w_term1(i) <= not(i_term1(i));
77
                        w_term2(i) <= not(i_term2(i));
78
                end generate MD3;
79
 
80
                -----------------------------------------------------------------------
81
                -- arquitectura Ripple Carry Adder
82
                -----------------------------------------------------------------------         
83
                LowBit: if i=0 generate
84
                        a: entity work.FA port map(
85
                                i_term1 => w_term1(i),
86
                                i_term2 => w_term2(i),
87
                                i_cin           => i_cin,
88
                                o_Sum   => o_sum(i),
89
                                o_cout  => w_cout(i)
90
                        );
91
                end generate;
92
 
93
                OtherBits: if i/=0 generate
94
                        b: entity work.FA port map(
95
                                i_term1 => w_term1(i),
96
                                i_term2 => w_term2(i),
97
                                i_cin   => w_cout(i-1),
98
                                o_sum   => o_sum(i),
99
                                o_cout  => w_cout(i)
100
                        );
101
                end generate;
102
 
103
        end generate BLOCK_A;
104
 
105
 
106
        o_cout <= w_cout(WIDE-1);
107
 
108
end main;
109
 
110
-------------------------------------------------------

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.